tác giả Dylan Patel ngày 18 tháng 2 năm 2022
Intel thay đổi phong cách
ngày họp cổ đông của Intel đã cực kỳ thú vị với hơn 10 giám đốc điều hành lần lượt lên facetime và phát biểu. Tất nhiên Pat Gelsinger (ảnh dưới: giữa) tỏa sáng với phong cách sinh động và năng nổ của mình. Không thể nghi ngờ rằng ông ấy đã gây ra một sự thay đổi văn hóa. Từ việc tổ chức lại hoàn toàn các đơn vị kinh doanh, đến việc thuê hơn 17000 nhân viên trong một năm, đến việc tăng mức thưởng cổ phiếu cho các nhân viên thiết kế và fab quan trọng. Tinh thần bây giờ đã phấn khởi hơn nhiều tại Intel.
Pat Gelsinger nói: "Đầu tiên, chúng tôi đang xây dựng lại "hành động (Andy) Grovian" là cái tên chúng tôi gọi nó, mang trở lại tinh thần của Andy Grove (ảnh dưới) sự tự tin, trọng tâm ở việc kỹ sư, kỷ luật, tinh thần cạnh tranh."
Lý do duy nhất chúng ta ở đây tại SemiAnalysis dám tơ tưởng về một cú lật ngược tình thế kỹ thuật, là vì có một sự thay đổi văn hóa rõ ràng tại công ty Intel. Chúng ta sẽ đi sâu hơn vào kỹ thuật, ở một phần nhỏ không hoàn toàn lạc quan theo quan điểm phê bình của chúng tôi, nhưng thôi, hãy nói về sự thay đổi văn hóa này.
Pat nói: "Chà, chúng tôi đã thực hiện một số thay đổi cơ bản. Và nếu bạn nghe từ (tiến sĩ) Ann Kelleher (ảnh trên) phiên sáng nay, chúng tôi đã lao về phía trước với vòng tay dang ra ôm lấy EUV. Chúng tôi đã xây dựng sâu sắc mối quan hệ đối tác. Chúng tôi đã từng nói với các nhà cung cấp thiết bị, cứ thả nó xuống bến tàu và chúng tôi sẽ tiếp quản nó từ đó."
Đây là một điểm rất quan trọng, không nên đánh giá thấp. Trong quá khứ, các nhà máy của Intel có một nền văn hóa độc hại do những người như Sohail Ahmed (ảnh trên) và Brian Krzanich (ảnh dưới). Các fabs nghĩ rằng họ là vua và sẽ làm bất cứ điều gì họ muốn. Trong một thời gian dài, suy nghĩ này hợp lý, vì họ tốt hơn nhiều so với bất kỳ đội nào khác trên thế giới. Các đội fab hào hoa đến nỗi họ sẵn sàng lờ đi các nhà cung cấp thiết bị.
Một ví dụ thực tế về điều này là với nút 10nm của Intel. Một trong nhiều vấn đề với 10nm của Intel liên quan đến việc họ sử dụng coban trong các dây [via] và nối-trong [interconnect]. Tóm gọn lại một câu chuyện dài và mang tính kỹ thuật, công nghệ lắng đọng và ủ vẫn non trẻ và chưa sẵn sàng. Điều này đã gây ra những vấn đề lớn. Applied Materials đã nói với Intel rằng họ chưa hoàn toàn sẵn sàng xuất đầu lộ diện, nhưng Intel vẫn tiếp tục thúc đẩy, bất chấp những cảnh báo. Tất nhiên chúng ta biết câu chuyện diễn ra như thế nào, đã mất hàng nhiều năm trước khi lợi suất được đưa lên mức chấp nhận được và có thể được đẩy mạnh sản lượng. Tiến sĩ Randhir Thakur (ảnh dưới) từng là giám đốc kinh doanh linh kiện bán dẫn tại Applied Materials, hiện nay là nhà lãnh đạo của các dịch vụ đúc và chuỗi cung ứng của Intel. Intel ngày nay đã tôn trọng các nhà cung cấp hơn nhiều.
Pat nói: "Bây giờ chúng tôi đang hợp tác sâu sắc với họ. Và đặc biệt, mối quan hệ với ASML là tuyệt vời. Chúng tôi đã xây dựng lại đội ngũ lãnh đạo."
Một khía cạnh bị đánh giá thấp của công cuộc thay đổi hiện tại (của Intel) là với các nhà cung cấp công cụ. Thập niên 2010, các nhà cung cấp công cụ không thích Intel lắm. Intel nghĩ rằng họ thông minh hơn bạn. Intel bảo bạn phải làm gì. Cũng những nhà cung cấp công cụ này muốn "công nghệ mới, còn rủi ro" [bleeding edge] không phải là một chương trình độc diễn (của Intel).
giữa thập niên 2010 chỉ có Intel dẫn đầu, với tất cả các hãng lạc hậu phía sau một vài năm. Hiện nay Intel đang chậm hơn TSMC vài năm, nhưng họ có thể tận dụng những bài học từ các nhà cung cấp công cụ để tăng tốc nhanh hơn nhiều. Các nhà cung cấp công cụ hiểu công cụ của họ đang được sử dụng ở đâu, chúng được sử dụng như thế nào. Làm việc chặt chẽ với các nhà cung cấp công cụ là vô cùng quan trọng.
Ví dụ, mối quan hệ của Intel với ASML là một lợi thế to lớn. ASML đang giúp Intel học cách đẩy mạnh ứng dụng EUV, ASML đang bán cho Intel rất nhiều công cụ EUV đến nhiều nhà máy và ASML thậm chí còn cung cấp cho Intel công cụ EUV khẩu-độ-cao lần đầu tiên ứng dụng sản xuất. Sự đối xử ưu đãi này cũng đang được cung cấp bởi các nhà cung cấp công cụ khác bởi Intel. Chúng ta cũng có thể thấy sự đối xử tương tự được đưa ra cho Samsung cho tiến trình cổng-vây-quanh sắp tới của hãng (Samsung), mà chúng tôi sẽ trình bày chi tiết trong một bài báo trong tương lai.
Pat nói: "Chúng tôi đang tận dụng chuyên môn không chỉ của ngành công nghiệp thiết bị, mà còn của ngành công nghiệp EDA, và đang chuyển sang các công cụ thiết kế tiêu chuẩn công nghiệp và PDK. Và những người mà các bạn có thể phải nói chuyện với các nhà cung cấp ngành công nghiệp và thiết bị, họ sẽ hưởng ứng. Đây là một Intel mới, cởi mở, gắn kết và chủ động hơn bao giờ hết."
Chúng tôi có thể xác nhận tuyên bố này. Thông tin thêm về các công cụ thiết kế tiêu chuẩn công nghiệp và PDK sẽ có trong phần về Tower Semiconductor. Đó là một động thái quan trọng và cần thiết để loại bỏ các công cụ nội bộ đã lỗi thời và chậm chạp, và áp dụng một cái gì đó hiệu quả và gọn gàng hơn nhiều. Cũng phải nói rằng, việc áp dụng tất cả công nghệ này sẽ không đảm bảo rằng Intel sẽ bắt kịp.
Pat nói: "Chúng tôi đã tái đầu tư. Tôi rút tập chi phiếu của mình ra, và một lần Andy Grove từng nói với tôi "tôi nghĩ nếu tôi cho bạn một ngân sách không giới hạn, thì bạn vẫn sẽ chi quá tay". Chà, ở một mức độ nào đó, chúng tôi đã trao cho (Tiến sĩ) Ann (Kelleher) một ngân sách không giới hạn, và chúng tôi đã nói, giúp chúng tôi đi đúng hướng, và chúng tôi đã đầu tư rất nhiều vào thiết bị và kỹ thuật để làm điều đó."
Các nhóm nghiên cứu cấu kiện và nhóm phát triển dài hạn của Intel chịu trách nhiệm tìm lộ trình và phát triển nút quy trình, họ đã trao thêm nhiều nguồn lực. Ngoài ra, họ có quyền truy cập vào các công cụ tiên tiến nhất từ tất cả các nhà cung cấp công cụ sản xuất linh kiện bán dẫn. Họ đã được cung cấp thêm nhân sự và được trả lương với cơ cấu quản lý tốt hơn nhiều. Cơ cấu tổ chức, đặc biệt là trong phân nhánh phát triển dài hạn, là một sự thay đổi cần lưu ý. Thông tin thêm về điều này trong phần "những nút" [nodes]
Auguste Philip Richard (ảnh dưới) hỏi một câu hỏi tuyệt vời liên quan đến việc thuê nhân sự. Nếu bạn theo dõi các dòng chảy kỹ sư LinkedIn như chúng tôi, bạn sẽ thấy rất nhiều kỹ sư xuất sắc đến với Intel, từ khắp nơi trong hệ sinh thái. Từ những đối thủ cạnh tranh, nhà cung cấp, khách hàng, công ty điện toán đám mây, Apple... khắp mọi nơi.
Auguste nói: "Câu hỏi đơn giản. Pat, bạn đã thuê một số người tuyệt vời, và họ trông không có động lực về tiền bạc. Bạn thuyết phục họ bằng cách nào? Làm thế nào bạn đã thuyết phục được những người này bỏ việc cũ? Có phải đó chỉ là nhiệm vụ bất khả thi? Bất kỳ gợi ý nào ở đây sẽ thực sự hữu ích.
Như tôi đã nhận xét, chúng ta đang trong một nhiệm vụ. Có một cam kết gần như là phi lý cho khẳng định rằng Intel mang tư cách là công ty công nghệ nền móng của Thung lũng Silicon, cho ngành công nghiệp, cho thế giới. Và nhóm này là nhóm chúng ta đang tập hợp, tôi muốn gọi đó là nhóm 5 năm của tôi, đúng vậy, nơi chúng tôi chơi hệ tâm linh [kumbaya] đến với nhau, bởi vì chúng tôi đang cùng nhau thực hiện sứ mệnh khôi phục công ty mang tính biểu tượng nhất trong ngành, để mang lại một loạt cơ hội phi thường trước mắt chúng tôi, để khởi xướng các doanh nghiệp đột phá mới cho tương lai, và đó là một hành trình đang giải phóng năng lượng đáng kinh ngạc của 12 vạn người chúng tôi có trong công ty, chưa kể đội ngũ lãnh đạo này, cũng như đang nhìn thấy cơ hội đó và niềm đam mê đó.
Bây giờ khi bạn hiện thực hoá điều đó, ê, chúng ta phải chăm sóc nhân viên của mình, phải không? Chúng tôi phải tưởng thưởng hậu hĩnh cho họ, nhưng những nhân tài xuất sắc đã xuất hiện vì họ tin vào sứ mệnh. Và sau đó họ nói, tôi muốn giải quyết những vấn đề khó khăn nhất. Và sau đó, tôi muốn làm việc với những người tốt nhất, và tôi muốn có một nền văn hóa và một môi trường mà tôi muốn trở thành một phần của nó. Và sau đó, ồ, vâng, tôi cũng muốn được tưởng thưởng. Nhưng ưu tiên theo thứ tự đó. Và những gì chúng tôi đang tập hợp là một nhóm tin tưởng vào sứ mệnh này rằng Intel là công ty có thể cung cấp các công nghệ cải thiện cuộc sống của mọi người trên hành tinh. Đó là nhiệm vụ mà chúng tôi đang thực hiện và chúng tôi có một bộ những tài sản 'độc nhất vô nhị' để hoàn thành nó."
Đây là một phần của Giám đốc điều hành đang nói 'theo sách' của ông ấy, nhưng hãy nói chuyện với một số người vừa mới trở lại Intel. Có khá nhiều người nghĩ rằng Intel cần được khôi phục theo đúng nghĩa đen, nếu không "phương Tây" sẽ mất tất cả lợi thế công nghệ phần cứng mà nó có. Ở một mức độ nào đó, chúng tôi đồng ý với những người này.
Pat nói: "Chúng tôi đã triển khai chúng trên toàn tổ chức. Chúng tôi đã xây dựng lại các quy trình ra quyết định của hãng. Chúng tôi đã đưa trở lại OKRs, mục tiêu và kết quả chìa khoá. Tại sao chúng ta ngừng làm điều đó? Chúng tôi đã phát minh ra nó. Mọi người khác trong Thung lũng đều chấp nhận nó, và chúng tôi đã ngừng làm điều đó, chúng tôi đang làm lại. Chúng tôi đã thắt chặt mọi người trong công ty, và một phần phần thưởng tài chính của họ sẽ dựa trên OKR của họ và việc thực hiện cá nhân của họ đối với những OKR ấy. Văn hóa đang được xây dựng lại."
Một thay đổi lớn khác là sự chuyển đổi trở lại OKRs. Chúng tôi sẽ không đi quá sâu vào OKR là gì, nhưng đó là một khuôn khổ quan trọng để theo dõi các mục tiêu và kết quả. Nó khiến mọi người có trách nhiệm, là mô hình được Andy Grove phát minh. Brian Krzanich (ảnh dưới) loại bỏ chúng và chuyển sang các chỉ số hiệu suất khác. Quay trở lại OKRs sẽ giúp giữ cho mọi người có trách nhiệm, và đánh giá hiệu suất làm việc được công bằng hơn.
Pat nói: "Khi tôi trở lại công ty, công ty đang chảy máu chất xám. Và nhiều người trong số các bạn đã viết về điều đó, sự chảy máu chất xám. Chà, điều đó đã thay đổi. Và bây giờ chúng ta đang có chất xám quay trở lại. Chúng tôi đã thuê 17000 nhân viên kỹ thuật năm 2021, nhiều người đến từ các đối thủ cạnh tranh lớn, nói rằng "Chà, điều đó khá tuyệt. Hãy - hãy để tôi tham gia cùng họ những gì họ đang làm." Nhiều người trong số họ là những người trở về, đang quay trở lại và nói rằng Intel đã trở lại, và tôi muốn trở thành một phần của điều đó. Ban nhạc đang trở lại với nhau và may-mắn [mojo] đã trở lại. Những gì Intel được biết đến với nền văn hóa kỷ luật, đổi mới và thực thi mạnh mẽ này, và tôi gọi nó là văn hóa Grovian mà chúng tôi muốn."
Công nghệ xử lý Intel
Nhiệm vụ lớn nhất và hoành tráng nhất trong bước ngoặt của Intel là công nghệ xử lý. Từ Intel 7 đến khi đẩy mạnh sản lượng Intel 4 là đã chậm hơn 2 năm so với TSMC và từ nút xử lý N7 đến khi đẩy mạnh sản lượng N5 của TSMC. Như đã đề cập trước đó, Intel sẽ có một giai đoạn bắt kịp mà họ sẽ không phát minh lại bánh xe. Điều này sẽ cho phép Intel 4 và Intel 3 là những đợt đẩy mạnh sản lượng được mượt mà hơn.
Intel 20A là nút mà Intel thực sự bị thử thách. Intel phải đưa ra những thay đổi lớn như RibbonFET và PowerVia, hai tính năng chưa được sản xuất số lượng lớn ở bất kỳ đâu trong ngành. Để đạt được mục tiêu này, họ đã giới thiệu một kiến trúc thiết kế mô-đun hoá. Họ đang gọi tên nó là Tick-Tock. Có vẻ như việc thu nhỏ nhịp [pitch] bóng bán dẫn phía-trước [front-end] chính sẽ xuất hiện ở nút tick, và nút tock sẽ là tối ưu hóa và cải tiến hơn nữa cho cơ sở đó.
Intel đang đơn giản hóa các quy trình phát triển và đang xem xét cẩn thận vấn đề của đổi mới so với thực hiện so với khả năng dự đoán, ở nhiều biến số. Mô hình tick tock sẽ cho phép Intel chia lẻ các phân đoạn khác nhau của quy trình phát triển ra độc lập hơn, từng phân đoạn có thể được giám sát và quản lý mà không ảnh hưởng đến toàn bộ quy trình. Ví dụ, các nhóm khác nhau có thể làm việc trên tín hiệu, phân phối năng lượng và bóng bán dẫn, một cách riêng biệt. Sự phân định và các ngắt này được gọi là những mô-đun tiến trình. Mỗi nhóm có mức độ trách nhiệm cao hơn và điều này cũng làm tăng tốc độ học tập vì các chức năng khác nhau có khả năng được đưa vào hoặc đưa ra.
Ví dụ mà Intel đang cung cấp là với PowerVia, hoặc cái mà ngành công nghiệp gọi là mạng lưới phân phối điện mặt sau. Ngày nay, ở tất cả các xưởng đúc [foundry] các lớp điện năng và tín hiệu được chứa trong một ngăn xếp kết-nối [interconnect] duy nhất. PowerVia lấy ra tất cả dây cung cấp điện năng và đặt nó ở mặt trái của tấm wafer, cho phép các kết-nối tín hiệu và kết-nối điện năng được tối ưu hóa cao hơn cho tác vụ được giao.
Intel có một phiên bản đặt-làm-riêng của nút Intel 3, để họ kiểm tra quy trình xây dựng lớp bóng bán dẫn, xây dựng các kết-nối [interconnect] tín hiệu; liên kết một wafer khác với một wafer nền [support], sau đó lật ngược wafer gộp, lộ ra các TSV nano trên wafer ban đầu, và chế tạo mạng lưới phân phối điện. Mô-đun này của quy trình có thể được kiểm tra và gỡ lỗi đầy đủ, độc lập với sự thay đổi trong kiến trúc bóng bán dẫn, RibbonFET. Các đánh giá rủi ro chủ động và các dự phòng sẽ được áp dụng cho các thay đổi tiến trình có rủi ro cao này, để đảm bảo rằng độ trễ trong một mô-đun sẽ không gây ra độ trễ cho toàn bộ nút tiến trình.
Chỉ các nút tock đang được chào bán trong mô hình dịch vụ xưởng đúc [foundry]. Giống như TSMC đẩy mạnh sản lượng các nút mới nhất của hãng như N5 với Apple trước khi các khách hàng khác nhảy vào, có vẻ như Intel sẽ thực hiện các thiết kế nội bộ trên nút tick của hãng. TSMC thường có làn sóng khách hàng lớn hơn trên các nút N5P phiên bản TSMC và N4, và logic tương tự sẽ áp dụng cho các tock của Intel, nơi khách hàng đúc của họ được cung cấp các nút tock hoàn chỉnh hơn. Đây là một cách nữa để phần nào giảm rủi ro cho khách hàng xưởng đúc, vì họ sẽ biết Intel đã giảm rủi ro hầu hết các nút trong nội bộ, một năm trước khi Intel đẩy mạnh sản lượng.
Intel đang đẩy mạnh sản lượng nhiều sản phẩm trên Intel 4, bao gồm Meteor Lake và ASIC đặt-làm-riêng cho mạng. Trong khi đó, Intel 3 sẽ đẩy mạnh sản lượng với 2 sản phẩm Xeon. Intel sẽ lặng lẽ chạy thử các tấm wafer thử nghiệm trong fab của họ cho các sản phẩm 2024 này vào nửa cuối năm nay.
Intel cũng đang phát triển 20A và 18A với các đội ngũ khác nhau. Những sản phẩm này sẽ trình làng RibonFET, PowerVia và in thạch bản EUV khẩu-độ cao đã nói ở trên. Intel cho biết họ sẽ chạy các tấm wafer thử nghiệm IP cho một sản phẩm khách hàng năm 2024 trong nửa cuối năm nay. 18A sẽ giao hàng cho khách hàng xưởng đúc một số chip thử nghiệm trong nửa đầu năm nay và sản phẩm IP sẽ thực hiện trong nửa cuối năm. Cả hai đều không phải là chip hoàn chỉnh, nhưng chúng là quy trình tốt để vỗ về khách hàng tiềm năng cảm thấy thoải mái với nút tiến trình. Pat Gelsinger đã hồ hởi đem khoe một tấm wafer thử nghiệm SRAM 18A trên sân khấu!
Cũng có một số thông tin được chia sẻ về đóng gói, nhưng với những độc giả đã đọc loạt bài nhiều phần của chúng tôi về đóng gói nâng cao, thực sự không có gì từ bài thuyết trình là mới.
đóng gói nâng cao Phần 1 - Thiết kế Pad bị giới hạn không gian, Phân tích lợi thế quy mô của sản xuất bán dẫn, hệ thống máy tính không đồng nhất, và chiplet
đóng gói nâng cao Phần 2 - Đánh giá các lựa-chọn/sử-dụng từ Intel, TSMC, Samsung, AMD, ASE, Sony, Micron, SKHynix, YMTC, Tesla và Nvidia
đóng gói nâng cao Phần 3 - ván cược gây tò mò của Intel vào liên kết nén nhiệt, ASM Pacific, Kulicke và Soffa, và nhóm doanh nghiệp Besi TCB Tool
Đăng ký nhận bản tin nếu bạn muốn được thông báo khi phần 4 được phát hành. Chúng tôi sẽ đi sâu vào hệ sinh thái liên kết lai từ tất cả các sử dụng hiện tại, lộ trình cho các thế hệ sử dụng trong tương lai và các công ty tham gia vào chuỗi cung ứng từ công cụ đến cấp phép IP.
Xưởng đúc Intel
Hoạt động kinh doanh xưởng đúc là quan trọng để Intel duy trì quy mô, tỷ lệ sử dụng và hiệu quả hoạt động. Mô hình cũ là đẩy mạnh sản lượng một nút chính, sau đó chuyển hầu hết các công cụ sang nút tiếp theo, đã không hiệu quả. Intel cụ thể đề cập rằng cuộc chuyển đổi nút 14nm sang 10nm, 90% công cụ có thể chuyển đổi được. Điều này có nghĩa là 10% các công cụ phải được bán khi nút cũ bị giảm sản lượng. Hơn nữa, Intel sẽ phải làm thêm công việc kỹ thuật để đảm bảo các công cụ cũ của hãng có thể chuyển giao (sang nút mới).
Trong nhiều trường hợp, một phiên bản công cụ mới đáng lẽ được mua để phù hợp hơn cho tác vụ mới; nhưng thay vì mua công cụ mới đó, Intel sẽ thiết kế tiến trình để phù hợp công cụ cũ. Trong mô hình sao-chép-chính-xác, trong đó cùng một công cụ và tiến trình được sử dụng trên tất cả các nhà máy trong một đợt đẩy mạnh sản lượng, Intel đặt hàng nhiều công cụ cũ hơn có thể sẽ không hiệu quả cho mỗi đôla chi ra (xem thêm Intel đưa công cụ ra khỏi fab Mỹ để có thể lạm dụng ưu đãi của đạo luật CHIPS act?)
Ngoài lợi ích hiệu quả vốn từ việc giữ cho các nút cũ được đẩy mạnh sản lượng, Intel còn được hưởng lợi bằng cách duy trì những con số wafer đầu vào được liên tục ở mức cao. Trong sản xuất linh kiện bán dẫn, tỷ lệ sử dụng là vua. Một ví dụ nổi bật là GlobalFoundries, hãng mà quỹ Mubadala đã thua lỗ 22.4 tỷ đôla trong vòng một thập kỷ. Hãng đã gặp khó khăn với tỷ lệ sử dụng thấp, và bây giờ hãng đang chạy ở tỷ lệ sử dụng 100% và sẽ làm như vậy trong vài năm tới, là sự thay đổi duy nhất này đã đưa hãng trở thành một công ty có lợi nhuận và là một khoản đầu tư bõ công.
Intel cần đơn hàng lớn [scale] từ một doanh nghiệp cần đúc [foundry] để tiếp tục theo đuổi các nút tiếp theo. Những đơn hàng cần đủ lớn thì mới có tiền chi trả những cuộc chuyển đổi nút tiếp theo, nhưng sau rốt thì quy mô và sản lượng của TSMC sẽ tiếp tục tăng, và chi phí phát triển của "công nghệ mới, còn rủi ro" [bleeding edge] sẽ trở nên quá đắt đỏ để hiện thực hoá.
Intel nhảy vào kinh doanh xưởng đúc, không chỉ là đa dạng hóa danh mục đầu tư, mà còn là động thái cần thiết. Mô hình sản xuất thiết kế tích hợp không thể tiếp tục mãi. Intel cần phải chi rất nhiều tiền để bắt đầu lộ trình của một xưởng đúc. Một trong những công việc ấy là xây dựng thêm fab. Intel cho biết các nhà máy [fab] mới này sẽ được thanh toán một phần thông qua hoạt động kinh doanh hiện tại của họ, thêm nợ, bán một phần nhỏ Mobileye, các khoản tài trợ của chính phủ và các khoản ứng trước của khách hàng.
Intel cũng đề cập đến khả năng đối tác và ký MoR [mechant of record] với Brookfield Asset Management để tài trợ cho việc xây dựng các nhà nhà máy [fab]. Brookfield Asset Management là một công ty quỹ bất động sản và tài sản tư nhân quốc tế. Hãng tham gia sâu sắc vào nhiều doanh nghiệp khác nhau, nhưng chúng tôi tin rằng quan hệ đối tác này sẽ được thiết kế để tận dụng lợi thế của các nhà đầu tư, những người đẩy mức giá cho cơ sở hạ tầng và cho thuê bất động sản xuống mức rất thấp. Nhiều công ty đã xây dựng một trụ sở [headquarter] chỉ để bán nó cho một công ty như Brookfield Asset Management và cho thuê lại trong thời gian dài. Chúng tôi chắc chắn có thể hình dung ra một kế hoạch, trong đó Intel xây dựng một vỏ fab và bán nó cho Brookfield cùng với các điều khoản hợp đồng cho thuê nhiều thập kỷ.
năm 2022 chi tiêu của Intel được giành nhiều cho phát triển công nghệ hơn so với các năm khác. 2022 và 2023 sẽ diễn ra việc xây một loạt các vỏ fab. Những vỏ fab này sẽ có thể "bị bỏ hoang" cho đến khi cần đến, để Intel có thể linh hoạt hơn trong việc đẩy mạnh sản lượng. Chi tiêu cho các công cụ sẽ tăng lên vào năm 2022 và 2023, nhưng chi phí đáng kể sẽ thực sự đến vào năm 2025 và 2026 khi doanh nghiệp xưởng đúc [foundry] bắt đầu chi tiêu nhiều cho các công cụ.
Mô hình dài hạn của Intel sẽ bao gồm chi 25% doanh thu cho chi tiêu vốn. Mặc dù mức này thấp hơn 35% của năm 2022 và 2023, nhưng vẫn cao hơn mức chi tiêu trong lịch sử của Intel. Tỷ lệ chi tiêu vốn tăng lên và điều đó sẽ làm lợi cho các công ty như Applied Materials, ASML, Lam Research, KLA, Tokyo Electron, Onto, Nova, Entegris ...
Intel đã đề cập cụ thể rằng những chi tiêu vốn này là con số ròng. Điều này có nghĩa là nếu Intel có thể bù đắp [offset] chi tiêu này thông qua các khoản tài trợ và trợ cấp của chính phủ, quan hệ đối tác tiềm năng với Brookfield Asset Management và các khoản ứng trước của khách hàng, chi tiêu của Intel sẽ chỉ có tăng lên. Intel đang thận trọng đưa ra [pen] 10% cho các khoản bù đắp [offset], nhưng chúng có thể chốt ở mức cao hơn nhiều nếu Mỹ và EU cuối cùng nhận ra rằng họ sẽ hoàn toàn tụt hậu trong công nghệ phần cứng nếu không có trợ cấp linh kiện bán dẫn để sánh với những ưu đãi đã có trong luật thuế và ngân sách chính phủ của các quốc gia Châu Á.
Intel đang khoa trương một ván cược lớn trong tham vọng xưởng đúc của hãng, nhưng SemiAnalysis đã có nhiều câu hỏi liên quan đến động thái bước vào của hãng ở đây. Mua một xưởng đúc là cần thiết để tăng tốc Dịch vụ Intel Foundry.
Chúng tôi là những người tin tưởng lớn vào chiến lược liên quan đến tin đồn mua lại GlobalFoundries. Các nguồn tin của chúng tôi cho thấy rằng Intel đúng là đã đưa ra một lời đề nghị, và quỹ Mubadala đúng là đã từ chối vì các vấn đề với các cơ quan pháp luật và vì thời điểm không thích hợp. Các khách hàng sẽ không hài lòng với số lượng thông tin sẽ bị tiết lộ cho Intel (nếu sáp nhập GlobalFoundries), và thương vụ mua lại sẽ mất ít nhất 18 tháng, trong trường hợp nó được cơ quan pháp luật nước sở tại chấp thuận. Sau 18 tháng, GlobalFoundries có thể đã quay vòng vốn một cách suôn sẻ, và công ty có thể sẽ đắt giá hơn một chút so với đề nghị của Intel.
Với thương vụ mua lại này thất bại, Intel đã phải tìm sang một xưởng đúc khác. Sau GlobalFoundries, UMC là xưởng đúc lớn nhì, nhưng Đài Loan sẽ không cho phép việc mua lại. SMIC là thứ 5 và Trung Quốc có thái độ tương tự. Di chuyển xuống dưới danh sách, Powerchip, Vanguard International Semiconductor và Hua Hong đều có những vấn đề tương tự. Xưởng đúc duy nhất còn lại với sản lượng và quy mô phù hợp là Tower Semiconductor có trụ sở tại Israel.
Tower Semiconductor
thương vụ mua lại Tower Semiconductor sẽ khoả lấp các lỗ hổng rất cần thiết trong các dịch vụ xưởng đúc của Intel cho các nút tiến trình vượt lên trên FinFET tiên tiến. Tower Semiconductor cung cấp cho Intel những đội ngũ đã đang vận hành có lợi nhuận các công nghệ chuyên biệt, giao tiếp với nhiều khách hàng bên ngoài một cách thành công. Lỗ hổng lớn nhất của Intel trong lĩnh vực xưởng đúc là thiếu khả năng tạo ra và bảo trì Bộ Thiết kế Tiến trình (PDK) đơn giản mà linh hoạt. Intel cũ đã sử dụng hầu hết các dòng việc được đặt-làm-riêng phù hợp với nhu cầu nội bộ của hãng, điều này làm tăng thời gian phát triển cho các thiết kế chip. Đây là một nền-tảng-không-thể-khởi-nghiệp trong lĩnh vực kinh doanh xưởng đúc. Khi Intel cố gắng ứng dụng nhiều dòng-việc tiêu chuẩn công nghiệp hơn, năng lực PDK sẽ là một lĩnh vực mà họ cần rất nhiều sự trợ giúp. Năng lực của Tower trong các công nghệ ngách chuyên biệt sẽ thực sự tăng năng lực của Intel tạo ra và cung cấp các PDK linh hoạt và đa dạng.
Tower Semiconductor cung cấp một loạt các công nghệ: bộ lọc tần số vô tuyến CMOS, IC nguồn điện hợp kim Silic-Germani, thiết bị rời rạc, cảm biến hình ảnh CMOS, quang tử, nhận dạng đối tượng bằng sóng vô tuyến [RFID], CMOS lưỡng cực (BiCMOS), silicon-trên-chất-cách-điện [SOI silicon-on-insulator], bóng bán dẫn LDMOS, hệ thống vi cơ điện tử, liên kết wafer [bond], vi điện trở nhớ Y-Flash và linh kiện tín hiệu tuần tự [analog] hiệu suất cao. Panasonic là khách hàng lớn nhất của Tower, nhưng hãng cũng có nhiều khách hàng khác. Dưới đây là phân tích của chúng tôi về danh sách công nghệ Tower cung cấp
Quang tử Silic - Tower sử dụng tiến trình CMOS lưỡng cực hợp kim SiGe của hãng để tích hợp các cấu kiện quang học trên thị trường truyền tin trung tâm dữ liệu. Nền-hệ-thống bao gồm việc tích hợp các bộ cảm quang [photodetector], bộ điều chế tín hiệu ánh sáng, và đèn laser vào một khuôn [die] duy nhất
bộ lọc tần số vô tuyến CMOS - được sử dụng trong các bộ thu phát được tích hợp cao, bộ khuếch đại điện lực và bộ chỉnh [tuner]. Cuộn cảm được tích hợp, tụ điện biến thiên và bóng bán dẫn MOS khuếch tán ngang đều [laterally diffused MOS transistor] được chế tác trên một khuôn [die] duy nhất
Silic-trên-vật-cách-điện [SOI silicon-on-insulator] - Tower chế tác những công tắc ăng-ten và mô đun mặt-trước [front-end], thường được tìm thấy trong điện thoại thông minh.
CMOS lưỡng cực cho bộ lọc tần số vô tuyến [RF] - tương tự như RF CMOS nhưng có nhiều tính năng hơn, chủ yếu được bán thành các bộ thu phát và bộ chỉnh [tuner] chuyên dụng. Có thể kết hợp các bóng bán dẫn lưỡng cực tốc-độ-nhanh. Các thiết bị cần ở mảng này sẽ chuyên biệt hơn.
SiGe CMOS lưỡng cực & Analog - còn nhiều tính năng hơn cả CMOS lưỡng cực tiêu chuẩn, phù hợp với RF tiên tiến hơn. Nó nhắm vào các linh kiện bán dẫn Analog hiệu suất cao cho tốc-độ-nhanh, tiếng ồn thấp, bộ thu phát không dây đa-băng-tần tích hợp cao, các cấu kiện mạng quang học, radar ô tô, bộ tiền-khuếch-đại ổ cứng, bộ khuếch đại điện lực, và bộ khuếch đại tiếng-ồn-thấp. Kết hợp các bóng bán dẫn lưỡng cực silic germani sẽ mang lại hiệu suất cao hơn nhiều. Quá trình này liên quan đến việc hợp tác sâu sắc với nhà cung cấp thiết bị vốn bán dẫn [semiconductor capital equipment provider] và Tower là công ty duy nhất. Hãng là những người dùng khối lượng lớn nhất của SiGe. Chúng tôi tự hỏi rằng liệu các kỹ sư từ Tower có thể hỗ trợ Intel trong việc triển khai kênh SiGe trên các nút tiên tiến trong tương lai hay không.
Cảm biến hình ảnh CMOS và xếp chồng wafer - Cảm biến hình ảnh CMOS của công ty Tower Semi thường được tìm thấy trong máy ảnh Nikon, nhưng chúng cũng xuất hiện trong nhiều ứng dụng cao-cấp khác như cảm biến ô tô và điện thoại thông minh. Hãng cung cấp 2 nút tiến trình chính cho cảm biến hình ảnh, là 110nm trên tấm wafer 200mm và 65nm trên tấm wafer 300mm với kích thước pixel giảm xuống còn 1.12 micron. Tower có công nghệ ống ánh sáng kép [dual light pipe], màn trập lăn [rolling shutter] và màn trập tổng thể [global shutter]. Tower Semiconductor cũng cung cấp dịch vụ chiếu sáng mặt sau [backside illumination], một hình thức xếp chồng wafer 3D trong đó một tấm wafer CMOS kỹ thuật số sẽ được xếp chồng lên nhau dưới một tấm wafer cảm biến hình ảnh mỏng
tia X và khâu vạch-ly-giác [reticle stitching] - đối với X-ray, Tower đã phát triển khả năng cung cấp khâu vạch-ly-giác để các chip có thể vượt lên trên giới hạn kích thước của một vạch-ly-giác in thạch bản. Công nghệ này được cung cấp trên nút 0.18 micron và nút 65nm. Các chip X-quang được bán cho nha khoa, C-ARM, chụp động mạch [angiography], chụp nhũ ảnh [mammography] và xét nghiệm không-phá-hủy công nghiệp. Các cảm biến X-ray được sản xuất ra có thể lớn bằng 1 khuôn [die] cho toàn bộ tấm wafer 300mm
cảm biến khác - Tower cũng có thể sản xuất cảm biến hồng ngoại để nhận dạng cử chỉ và cảm biến độ nhạy quang phổ. Hãng cũng cung cấp thời gian gián tiếp và trực tiếp [indirect time] của cảm biến 3D chuyến bay [flight 3D sensor] cho VR, AR và điện thoại thông minh. Những cảm biến này cũng có thể được sử dụng cho nhận dạng khuôn mặt. Tower cũng sản xuất điốt tuyết lở photon đơn và LiDAR
MEMS - Tower chiếm thị phần cao trong lĩnh vực MEMS ống thu thanh, được sử dụng từ tai nghe không dây đến điện thoại đến các ứng dụng công nghiệp
Micro-LED & Micro-OLED - công nghệ khâu vạch-ly-giác của Tower cũng cho phép hãng trở thành nhà cung cấp mới nổi Micro-LED cho các mảng nguyên khối [monolithic array] trong màn hình micro-OLED và LCOS. Hãng cũng tham gia vào các đèn LED dựa trên ống-nano GaN, sau đó có thể được đặt trên bảng-nối-đa-năng [backplane]. Đây là một cơ hội mà Tower đặc biệt nêu lên khi yêu cầu thêm tiền đầu tư để mở rộng quy mô. Chúng tôi hy vọng Intel sẽ tiếp tục đầu tư vào công nghệ Micro-LED của Tower
IC quản lý điện lực - sản phẩm bao gồm BCD điện áp thấp và điện áp cao. Đối với điện áp thấp, BCD là một lợi thế kỹ thuật và bao gồm các thiết bị 5V, 8V, 12V, 40V và 60V cho các linh kiện bán dẫn điện lực [power] và truyền động [drive] ví dụ bộ điều chỉnh điện áp [regulator], bộ sạc pin, sản phẩm quản lý điện lực và bộ khuếch đại âm thanh. Đối với điện áp cao, các công nghệ 140V Resurf, 200V SOI và 700V được chào bán cho trình điều khiển cổng [gate driver] cho các bóng bán dẫn rời công-suất-cao ở thị trường ô tô, công nghiệp, bộ điều hợp AC [adaptor] và chiếu sáng
Bộ nhớ tĩnh [non-volatile] - Tower đã phát triển một giải pháp bộ nhớ tĩnh, tên là Y-Flash. Sản phẩm này có thể được tích hợp trong các sản phẩm quản lý điện lực, nơi các hình thức bộ nhớ khác sẽ bị hỏng vì các điều kiện khắc nghiệt
thương vụ mua lại Tower cho phép Intel cung cấp một loạt các công nghệ hoàn chỉnh hơn mà hãng có thể tận dụng quy mô của hãng để cung cấp. Tower cần Intel giúp mở rộng [scale] quy mô nhà máy của hãng để có khả năng cung cấp các sản phẩm tích hợp dọc lớn, ví dụ hệ thống quản lý pin trong ô tô điện và quang tử silic. Intel có thể bắt đầu với các mối quan hệ hiện tại với các khách hàng xưởng đúc [foundry] và tận dụng những quan hệ đó để tạo ra các cam kết hơn nữa trong Dịch vụ xưởng đúc Intel
Tower Semi và Intel cùng nhau sẽ tập trung vào các phân khúc máy tính, di động và ô tô. Intel cho biết hãng là một trong hai xưởng đúc [foundry] trên thế giới cung cấp dịch vụ trải dài từ các nút micron đến các nút nhỏ hơn 10nm. Hãng còn lại là TSMC. Intel đang cố gắng định vị mình là đối thủ cạnh tranh duy nhất. Lời hùng biện này bỏ qua thực tế là Intel với Tower vẫn thiếu rất nhiều trong phạm vi từ nút tiến trình 45nm đến 28nm
Intel đang công bố khách hàng Cisco của xưởng đúc [foundry]. Cisco Silicon One là một chiến lược, từ các mạng lưới cung cấp dịch vụ với các bộ định tuyến được đệm [buffered router] sâu, đến những thiết bị chuyển mạch quy-mô-web truy-cập-Tor [web scale top-of-rack switch] được tối ưu hóa về điện lực và chi phí, từ thẻ vạch [line card] đến thiết bị chuyển mạch. Cisco Silicon One đã là một khách hàng lớn của Samsung Foundry, nhưng có vẻ như Intel đang lấy đi thị phần đó. Achronix và Amazon đã là những khách hàng mà Intel vẫn giao hàng và lấy doanh thu.
Intel cũng là nhà thầu xưởng đúc [foundry] cho chương trình RAMP C của chính phủ Mỹ bao gồm hợp tác với Qualcomm, IBM, Synopsys, Cadence và Microsoft. Hãng tuyên bố có hơn 5 "triển vọng neo đậu trong việc tham gia thiết kế" [anchor prospect in design engagement] và năm 2022 có hơn 30 chip thử nghiệm. Intel đang nhắm mục tiêu thị trường ô tô trong ADAS, RF, cảm biến và quản lý điện lực, và thậm chí có cả một đội trong lĩnh vực kinh doanh dịch vụ xưởng đúc [foundry] đang nhắm mục tiêu đến mảng kinh doanh này.
năm 2021 Intel công bố rằng hoạt động kinh doanh xưởng đúc hiện tại là 800 triệu đôla. Tính cả hợp đồng với Achronix và Amazon, con số này có vẻ cao. Chúng tôi không chắc là phần còn lại của doanh thu này đến từ đâu. Ước tính của chúng tôi cho Achronix và Amazon chưa đến 500 triệu đôla, ngay cả trong những kịch bản lạc quan nhất. Có lẽ Intel cũng đang lấy được doanh thu từ bộ quốc phòng.
Trung Tâm Dữ Liệu Và AI
Nói rõ rằng, Intel sẽ đứng ngoài thị trường máy chủ cho đến sớm nhất năm 2024 và có khả năng là lâu hơn nữa. Đồng thời, Icelake của Intel đang bị Milan của AMD cho hít khói. Sapphire Rapids của Intel cũng bị Genoa của AMD bỏ xa, mặc dù khoảng cách không lớn bằng. Nhìn về tương lai, Emerald Rapids của Intel cũng sẽ không thể đấu lại Genoa và Bergamo của AMD. Sẽ phải đợi các sản phẩm năm 2024 xoay chuyển tình thế
Granite Rapids của Intel đã lại bị thay đối thông số cấu hình, giống như Sierra Forest từng bị. Granite Rapids ban đầu là một sản phẩm năm 2022, đã được đẩy đến năm 2023 và đã được tinh chỉnh các thông số cấu hình. GNR hiện là một sản phẩm năm 2024 và đã có các lõi CPU được chuyển sang nút Intel 3. Điều tương tự đã xảy ra với Sierra Forest (SRF). Kể từ lần thay đổi thông số đầu tiên, chúng tôi đã biết rằng cả hai đều là một phần của nền tảng bo mạch Birch Stream sử dụng ổ cắm LGA7529. Nút tiến trình không phải lúc nào cũng giữ nguyên. Có vẻ như Intel cũng đã chuyển Sierra Forest từ TSMC N3 sang Intel 3. Đây là một tín hiệu rất tích cực cho năng lực của các nút nội bộ. Dù thế nào thì Granite Rapids sẽ không cạnh tranh với Turin của AMD, và Sierra Forest có thể sẽ không cạnh tranh với Bergamo +1
Phân khúc AI thậm chí còn tồi tệ hơn. Habana có phần mềm kém và ít sức hấp dẫn [traction]. Nhóm mà Intel đặt hàng đã phải trì hoãn đợt bán ra đầu tiên của Habana nhiều lần do phần mềm, và phần thế hệ thứ 2 trên TSMC N7 cũng bị trì hoãn đến cuối năm 2022 thay vì cuối năm 2021 như nhóm mới đầu nói với Intel khi việc mua hàng được thực hiện. Nhìn chung Intel đã không thực hiện thẩm định của hãng với giao dịch mua này, và hãng đã lãnh hậu quả
Thiết bị mạng và thiết bị đầu cuối [edge]
Đây là đơn vị kinh doanh hứa hẹn nhất của Intel và có nhiều khả năng đạt và vượt mục tiêu doanh thu nhất. Cho đến nay, hãng có triển vọng cạnh tranh tốt nhất về phần cứng, việc sử dụng tiên tiến nhất các xưởng đúc [foundry] bên ngoài và xưởng đúc nội bộ (nếu có) bao gồm TSMC N7 cho DPU (IPU) và Intel 4 cho ASIC mạng đặt-làm-riêng, và các bộ phần mềm được-bảo-trì-tốt nhất giúp thiết kế lại cách thức mạng lưới được quản lý.. Thiết bị mạng và thiết bị đầu cuối [edge] của Intel cũng làm việc chặt chẽ nhất với khách hàng cho các nền tảng phần cứng đồng-phát-triển. Phần cứng chức năng cố định sẽ được thay thế bởi mô hình phần mềm có-thể-lập-trình, trong khi vẫn giữ được phần cứng chức năng cố định ở những nơi hợp lý. Đội ngũ thiết bị mạng và thiết bị đầu cuối [edge] sẽ đi đầu cuộc cách mạng Suy luận AI với OpenVino
quy luật kinh tế - có thể quá đắt để đưa dữ liệu lên điện toán đám mây
quy tắc vật lý - Khối lượng công việc [workload] có thể không có thời gian (độ trễ) để đưa dữ liệu lên đám mây cho khối lượng công việc của bạn
Luật pháp địa phương - Các lý do quy định hoặc bảo mật có thể ngăn dữ liệu lên đám mây
Phần cứng mạng của Intel giúp giảm bớt lo ngại của cả 3 điểm, đồng thời cho phép điện toán trên thiết bị đầu cuối. Điện toán ở thiết bị đầu cuối [edge] thường có thể tăng TCO. Intel có các giải pháp nhắm mục tiêu vào trung tâm dữ liệu điện toán đám mây; từ quang tử silic, thiết bị chuyển mạch ethernet, NIC và DPU (IPU). Intel đang tạo ra một nền tảng phần mềm nguồn mở cho DPU của hãng, thứ rất khác biệt so với những gì Nvidia, Marvell, Fungible, Pensando và Amazon đang làm cho DPU của những hãng ấy. Intel có tiến trình quang tử silic tốt nhất thế giới, và quang học đồng-đóng-gói [co-packaged optic] là vũ khí của hãng để tham gia kinh doanh xưởng đúc
Intel cũng chào bán sản phẩm cho mạng lõi với CPU, NIC và bộ chuyển mạch có-thể-lập-trình cho bộ định tuyến lõi và khối lượng công việc NFV. Thiết bị đầu cuối Colocation bao gồm máy chủ lưu trữ bên ngoài các trung tâm dữ liệu lớn, và CPU và NIC được bán cho sản phẩm được tích hợp dọc này. Intel cũng bán cho thiết bị đầu cuối mạng lưới, với CPU, NIC và phần mềm FlexRAN cho trung tâm RAN và điện toán thiết bị đầu cuối [edge compute]. SnowRidge là một SOC được bán cho thiết bị đầu cuối mạng lưới và các trạm phát sóng 5G. Cuối cùng, thiết bị đầu cuối tại-chỗ [on-premise] bao gồm CPU Xeon/Atom, VPU Movidus, FPGA và nhiều phần mềm, ví dụ như OpenVino
Tổng thị trường có thể tiếp cận [TAM total addressable market] đang tăng trưởng ở mức 12%, nhưng Intel hy vọng thiết bị mạng và thiết bị đầu cuối sẽ tăng trưởng ở mức loanh quanh 15%. Hãng sẽ giành được thị phần và trở thành nhà cung cấp hàng đầu của thiết bị đầu cuối. Nick McKeown từng thành công lớn với nhiều công ty bán các sản phẩm trong ngành này. Nỗ lực Barefoot gần đây nhất của Nick McKeown được Intel mua, đã đi trước thời đại bằng cách chào bán các bộ chuyển mạch có thể lập trình, và là bộ chuyển mạch đầu tiên có quang học đồng-đóng-gói [co-packaged optic]. Chúng tôi kỳ vọng Intel sẽ bắt đầu chiếm thị phần bộ chuyển mạch mạng nhanh chóng trong kỷ nguyên bộ chuyển mạch >100Tbps. Pat Gelsinger dường như ám chỉ rằng ông đã phải gạ gẫm Nick McKeown đến lãnh đạo nhóm, và Intel thật may mắn khi có được Nick.
Tăng tốc điện toán và đồ họa
Mặc dù chúng tôi cực kỳ lạc quan về nhóm thiết bị mạng và thiết bị đầu cuối, chúng tôi không lạc quan chút nào về AXG. Họ phải xây dựng một bộ phần mềm khổng lồ, và trong khi OneAPI đã vượt mặt phần mềm GPU của AMD, nó còn lâu mới sánh được của Nvidia. Các sản phẩm Xeon với HBM chỉ hữu dụng trong các thị trường ngách rất nhỏ. Sở hữu trí tuệ GPU [IP] còn một chặng đường dài để đi, và cạnh tranh với cả Nvidia và AMD sẽ thực sự khó khăn. Hơn nữa, Intel đang sử dụng các nút tiến trình bên ngoài cho GPU chơi game của hãng, vì vậy hãng cũng không thể sử dụng lợi thế "sản xuất thiết bị tích hợp" [IDM integrated device manufacturing] để cạnh tranh về chi phí. Kiến trúc tồi tệ hơn của hãng sẽ hé lộ trực tiếp qua kích thước khuôn [die] và định mức nguyên vật liệu [BOM bill of material]
không khai sáng được gì khi slide thuyết trình của Intel có phần lừa đảo. Con số 4 triệu đơn vị GPU được giao cho khách hàng năm 2022 và con số doanh thu > 1 tỷ đôla? Vâng, chúng tôi vui mừng, ban đầu... cho đến khi chúng tôi nhìn kỹ bản in giấy
thấy chưa?
Doanh thu AXG bao gồm tiền bản quyền đồ họa giữa-các-phân-khúc [intersegment graphic royalty] được loại bỏ trong kết quả đã-sửa [consolidate] của Intel. Tiền bản quyền này khoảng 700 triệu đôla năm 2021, tăng thành 1 tỷ đôla năm 2026
thực tế, Intel dự kiến sẽ xuất xưởng 4 triệu đơn vị GPU, nhưng chỉ kiếm được 300 triệu đôla doanh thu từ nó, với phần còn lại là tiền bản quyền nội bộ của công ty, là thứ doanh thu trên giấy tờ?
ấy là giá bán trung bình 75 đôla
tức là Intel bán GPU giá rẻ để giành thị phần?
Hãng có 2 khuôn [die] sẽ bán, và khuôn nhỏ hơn trong hai cái sẽ chỉ là 128 đơn vị thực thi [execution unit]. Nếu tham chiếu, GPU tích hợp Tiger Lake thế hệ mới nhất là 96 đơn vị thực thi, và Meteor Lake thế hệ sau sẽ lên tới 192 đơn vị thực thi. Phần lớn sản lượng GPU của Intel sẽ được bán ra thị trường với giá 75 đôla trở xuống ASP [sớm nhất có thể] và cung cấp hiệu suất kém hơn đồ họa tích hợp của AMD, và cũng kém hơn iGPU thế hệ tiếp theo của Intel
giải thích duy nhất chúng ta có thể thấy là Intel công bố 4 triệu đơn vị được xuất xưởng không đồng nghĩa là được giao hàng ra để lấy doanh thu. Đối với thế hệ GPU rời đầu tiên, Intel có khả năng chuyển sang mô hình siêu thị, theo đó hãng không được trả tiền cho đến khi ODM bán máy tính xách tay hoặc GPU cho người dùng cuối hoặc kênh bán lẻ. Chiến lược này giúp Intel có thể nhồi nhét kênh bán lẻ những GPU bình dân, và ODM không chịu rủi ro trên bảng cân đối kế toán của hãng nếu những GPU ấy ế hàng. ODM thậm chí sẽ có động lực bán GPU Intel do lợi-nhuận-trên-vốn-đầu-tư đáng kinh ngạc mà hãng nhận được
lãnh đạo Raja Koduri (ảnh trên) nhóm AXG của Intel sẽ có một con đường chông gai phía trước để quản lý mảng này. Raji được trao kiến trúc iGPU tồi tệ nhất trong ngành, nếu so sánh với Nvidia, AMD, Arm, Imagination, Qualcomm và Apple. Sau đó, Raji được yêu cầu tạo ra phép màu từ nó. Cuối cùng, chúng tôi hy vọng AXG của Intel sẽ tăng tốc, nhưng chúng tôi thấy không có lý do gì để AXG có thể đạt doanh thu 10 tỷ đôla năm 2026, ngay cả với 1 tỷ đôla doanh thu cấp phép bản quyền trong nội bộ công ty.
Điểm tích cực duy nhất ở đây là GPU mã hóa AV1 và bộ tăng tốc blockchain. Chúng tôi không phải là người dùng tiền điện tử, nhưng chúng tôi theo dõi sát sao những hiệu năng, kích thước mạng lưới, sức mạnh hàm băm và bán-nhu-cầu [semi-deman]. Các dịch vụ thiết kế đặt-làm-riêng [custom] có thể thành công, nhưng có một dấu hỏi lớn ở đây về loại thương vụ bán-tùy-chỉnh [semi-custom] nào có thể có ở đây.
Falcon Shores là một sản phẩm tham vọng, kết hợp GPU và CPU trên cùng một nhà cung cấp dịch vụ, nhưng nó sẽ muộn hơn Grace Hopper của Nvidia và bộ xử lý HPC thế hệ tiếp theo của AMD.
Khách hàng
tồi tệ hơn, nhóm khách hàng của Intel là nằm trong số lớn nhất ngành, nhưng một số dự đoán ở đây rất đáng nghi ngờ. Intel dự báo năm 2022 tổng đơn hàng 350 triệu đơn vị PC và tiếp tục tăng lên trong những năm sau. Phải nói rõ rằng, không có nhà phân tích hay công ty nghiên cứu thị trường nào đang dự báo doanh số PC tiếp tục duy trì mức cao như vậy. Ngay cả doanh số AMD cũng có năm 2022 đi ngang. Hầu hết năm 2022 cao nhất là 350 triệu đơn vị, sau đó trở lại trạng thái cân bằng. Dữ liệu của Intel rất thiếu minh bạch [non-consensus]. Và, những dữ liệu này được đưa vào dự toán tài chính của hãng...
Về khả năng cạnh tranh, lộ trình của Intel trên thiết bị di động là có sức cạnh tranh. Hãng không ép được thị phần AMD như đã tuyên bố với Alder Lake, nhưng đã cạnh tranh sòng phẳng. Chúng tôi kỳ vọng rằng vị thế dẫn đầu hiệu năng sẽ trao tay qua lại giữa AMD và Intel
Phần mềm và cảnh báo va chạm Mobileye
Phần này đã khá dài, và vì trước đây chúng tôi đã có nhiều bài viết về hai cấu kiện này và sẽ tiếp tục viết về chúng, chúng tôi sẽ không đưa nó vào bài viết này. Các nhà đầu tư sẽ ngóng đợi Mobileye phát hành công khai lần đầu [IPO initial public offering]. Năm 2021 đơn vị phần mềm đã thu được 100 triệu đôla doanh thu và đang nhắm mục tiêu 150 triệu đôla trong năm 2022. Phần mềm thậm chí còn quan trọng hơn nữa vì hỗ trợ cho doanh số bán hàng của AXG, trung tâm dữ liệu, mạng và thiết bị đầu cuối
Không có nhận xét nào:
Đăng nhận xét