Thứ Ba, 9 tháng 4, 2024

ASML và công nghệ in thạch bản EUV khẩu độ cao

năm 2024 ASML bán cho Intel một máy in thạch bản EUV khẩu độ cao, và hiện nay hai công ty đang thử nghiệm
đến năm 2028 ASML dự định mỗi năm bán ra 20 máy EUV khẩu độ cao

Diễn đàn thế giới công nghệ Imec
ngày 21-22 tháng 5 năm 2024 trung tâm vi điện tử liên trường đại học [IMEC interuniversity microelectronics centre] sẽ tổ chức diễn đàn ITF World ở thành phố Antwerp
sản phẩm công nghệ in thạch bản EUV khẩu độ cao sẽ nâng khẩu độ [NA numerical aperture] hệ thống từ 0.33 lên thành 0.55 trên lý thuyết sẽ thu nhỏ kích thước của những đường nửa-vây [half-pitch] xuống 64% thành 7.5 hoặc 8 nanomet
tăng khẩu độ sẽ cần sử dụng thêm ánh sáng, nhưng ASML phát hiện rằng không thể tăng kích thước của chuẩn mặt nạ ảnh EUV 6 inch
cho nên ASML phải thiết kế bí quyết riêng để vượt qua hạn chế này, sử dụng những thấu kính chiếu [project] lớn và tinh vi hơn, của Zeiss

Chắp vá
một hậu quả của những thay đổi này là kích thước trường mặt nạ [mask field size] là diện tích trên đĩa wafer sẽ phơi ra trong một bước phơi sáng, bị giảm một nửa; diện tích mới sẽ là 26 * 16.5 milimet
nếu khách hàng muốn sản xuất một 'die' lớn hơn kích thước trường mới này, ASML sẽ cần thực hiện 'chắp vá trong-die' [stitch]
'chắp vá' [stitch] là lấy thiết kế ban đầu, cắt là hai; rồi đem phơi hai mặt nạ, với một chút phần-đè-lên-nhau [overlap] gọi là vùng chắp vá [stiching region] sẽ nhận được phơi sáng gấp đôi
trước đây Intel đã làm với những kích thước trường hỗn hợp [mixed field size] gọi tên là 'in 1:2' [1:2 printing] nhưng khác
chưa nói đến những thử thách cơ điện tử [mechatronic] gióng thẳng [line up] những mối chắp vá [stitch] - lớp chồng [overlay] chưa đến 1 nanomet - ta cần xem xét tất cả những tương tác diễn ra bên trong vùng chắp vá
mặt nạ ảnh EUV có 2 biên giới: biên giới cho ảnh mặt nạ, và biên giới đen rất dễ hấp thụ (ảnh sáng); biên giới đen sẽ ngăn cản những ánh sáng tán xạ khỏi ảnh hưởng những vùng lân cận die, mặc dù vẫn bị phản chiếu 1-3% tạo ra ánh sáng loé lên, gần giống 'gờ thấu kính' [len flair] có thể làm mờ ảnh
biên giới cũng thu nhận nhiều áp lực cơ học của những tiến trình lắng đọng đa-lớp và làm sạch
kính hiển vi quét điện tử [SEM scan electron microscope] chụp ảnh vùng này cho thấy đa-lớp không hạ xuống đồng đều thẳng xuống, có một chút khoén bớt [undercut] được cho là gây ra bởi những công đoạn làm sạch
những xô lệch cơ học này đã tăng tính phức tạp và có thể gây ra lỗi vị trí [placement] cho nên cần mô hình hoá những tương tác giữa ảnh mặt nạ, biên giới ảnh mặt nạ và biên giới; những phản chiếu có thể làm nhiễu ảnh lân cận
những thử nghiệm mới đây của Siemens hợp tác với IMEC ám chỉ rằng một phơi sáng hai-lần sẽ làm hại độ tương phản hình ảnh cho một số chức năng [feature] ví dụ những hố tiếp xúc [contact hole] sử dụng để nối dây những bộ phận của chip
nhóm cũng thử nghiệm một số cách để cắt thiết kế, một cách đơn giản là bổ ngang ở giữa; rủi ro là để lại một số đuôi ngắn trên một phía của đường cắt, nếu mối chắp vá [stitch] không hoàn hảo thì có thể để lại một số đa giác [polygon] cut-off
nếu không muốn cắt thẳng, một là 'cắt thông minh' [smart cut] giữ lại những đa giác nhất định dọc biên giới, đồng thời vứt bỏ [leave out] những đa giác khác
hai là cắt zíc zắc [stagger] có một mép lởm chởm răng cưa, để lại những phần cuối của đường [line] bị nửa-cô-lập [semi-isolate] để dễ in hơn một chút
cách khác là nhà thiết kế chip sẽ di chuyển những cấu trúc quan trọng, ví dụ dây nối điện, xa khỏi vùng chắp vá [stitch]
có thể thấy, diễn biến đang ủng hộ cuộc bùng nổ chiplet và đóng gói tiên tiến; chip A15 bionic bên trong iphone 13 được hãng công bố diện tích 8.58 * 12.55 milimet, nếu thực hiện chiplet với những nút tiến trình trang bị EUV khẩu độ cao, không thực hiện chắp vá [stitch]; sau đó sử dụng đóng gói tiên tiến để tập hợp những chiplet ấy, sử dụng những nút tiến trình ít tinh tế hơn, giống như cách AMD sản xuất MI300
Kiwamu Takehisa ở phòng thí nghiệm laser O2 đề nghị ASML nâng cấp máy in thạch bản TWINSCAN để chạy đồng thời 2 mặt nạ ảnh, mô phỏng thông lượng [throughput] ban đầu cho thấy mẫu hình [paradigm] quét mới này sẽ tăng gấp rưỡi thông lượng

Lớp cản quang
lo ngại mới là mỏng đi độ sâu tiêu cự sẽ cần lớp cản quang mỏng hơn 40-60% để giữ tiêu điểm 'tốt' trong khi phơi sáng
lớp cản quang EUV hiện nay là những chất cản quang hoá học khuếch đại [CAR chemical amplified resist] là hỗn hợp những chất hoá học dựa-trên-cácbon đã sử dụng cho in thạch bản từ thập niên 1990
bên trong CAR, một phân tử sản sinh axit ảnh sẽ tạo ra axit khi hấp thụ ánh sáng EUV; sau đó axit gây ra những phản ứng khác trong bước nướng sau-phơi-sáng để khuếch đại ảnh hưởng của photon, như một cảm biến quang trong chất hoá học
nhưng CAR tương đối trong suốt với ánh sáng EUV 13.5 nanomet cho nên lớp CAR mỏng hơn sẽ gây thêm vấn đề tăng độ phân giải ảnh; và cũng phải xem xét kỹ hơn cách thức độ phản chiếu của wafer sẽ ảnh hưởng hình ảnh bị phơi sáng; và nó cũng tăng sức ảnh hưởng của những ngẫu nhiên [stochastic] là những lỗi ngẫu nhiêu bị cô lập [random isolated defect]
ASML Đài Loan thử nghiệm cho thấy rằng cắt giảm những lớn CAR đi 30-50% sẽ xuất hiện gấp 3-7 lần số lỗi, theo ghi nhận bởi thẩm tra chùm điện tử; ảnh đã bị phân giải [resolve] cũng mờ nhạt hơn, nhiễu hơn
đội ngũ ASML Đài Loan ghi chú rằng nếu muốn sử dụng những lớp CAR mỏng cho EUV khẩu độ cao, sẽ cần dàn xếp những lỗi này trong những công thức, và sử dụng đo lường học để tìm và sửa chữa
không sử dụng CAR, có một ứng viên chất cản quang hứa hẹn là những lớp cản quang kim loại ôxit [MOR metal oxide resist]; có thể là những nguyên tử thiếc đặt lên trên, chặn và hấp thụ năng lượng, giống như chất lỏng cản quang [contrast fluid] trước đây cho máy quét CT sử dụng kim loại nặng thori
có một lớp MOR, có thể in những chức năng với sự lởm chởm bề rộng đường ít hơn [less line width roughness] sử dụng ít ánh sáng UV hơn một chút, ít thời gian phơi sáng và tăng thông lượng, so với nếu số lớp CAR mỏng tương tự
hàm lượng thiếc cũng khiến lớp cản quang sẽ chống chịu tốt hơn những tiến trình khắc-axit [etch] sau khi lớp cản quang đã trải qua bước nướng sau-phơi-sáng
mặc dù dường như có một vấn đề với phần sau-phơi-sáng này, những điều kiện khí quyển chưa-biết giữa bước phơi sáng và bước nướng có thể gây ra nối-tắt [bridging], sụp đổ mẫu hình [pattern collapse] và lệch-nhịp [pitching]
mới đây Tokyo Electron đề nghị một phương pháp mới và điều chỉnh công cụ, gọi là công nghệ bộ phát triển nhạy cảm tăng cường [ESPERT enhanced sensitivity develoPER technology] có thể điều chỉnh hình ảnh hoá học để sửa chữa hoặc tránh lỗi, và có được những mẫu hình rất sít [tight]
suốt 30 năm CAR là lớp cản quang mặc định, cho nên nếu các fab chuyển sang MOR sẽ tác-động-nối-tiếp [cascade] qua tất cả chồng-bước [stack] in thạch bản
Inpria là công ty cung cấp MOR hàng đầu, mới đầu tách-ra từ trường đại học bang Oregon và năm 2021 được công ty hoá chất JSR mua lại

Đo lường học
công nghệ EUV khẩu độ cao sẽ khiến những hư hỏng ngẫu nhiên [stochastic] trở nên thường xuyên hơn, hiện tại đã trì hoãn chu kỳ xử lý rồi, cần thực hiện thêm những biện pháp đo lường học để tìm và xác định những hư hỏng này
độ phân giải nét hơn cũng làm giảm kích thước tổi thiểu của những khuyết tật, kiểm tra sự lởm chởm bề rộng đường [line width roughness] khó hơn vì cần đo lường kích thước dưới-nanomet; làm thế nào để đảm bảo một lớp cản quang nhất quán khi lớp ấy chỉ mỏng 10-30 nanomet
những công cụ hiện nay dựa chủ yếu vào những nguyên lý quang học, mở ra cơ hội cho đo lường học và thẩm tra dựa-trên-chùm-điện-tử; căn bản là những kính hiển vi điện tử đang được sử dụng để phát hiện biến đổi gen, sẽ đem sang phát hiện những khuyết tật mà công cụ quang học bất lực không thể thấy
IMEC bắt đầu mang công cụ kính hiển vi điện tử truyền qua [TEM transmission electronic microscope] vào cả phòng thí nghiệm lẫn tích hợp vào dây chuyền sản xuất
những bài viết nghiên cứu đã nhắc đến 'đo lường học lớn' [massive metrology] miêu tả nhu cầu xem xét nhiều chức năng cùng một lúc; Hitachi và IMEC hợp tác làm một thiết bị có thể thẩm tra một triệu hố tiếp xúc một lúc
công cụ sẽ không chỉ phát hiện lỗi ngẫu nhiên [stochastic], ví dụ độ sâu tiêu cự bị phẳng hơn, khiến không chỉ lớp cản quang mà còn đĩa wafer nữa sẽ bị mỏng đi nhiều; sẽ cần nhiều công cụ đo lường học và thẩm tra, tất cả sẽ tính vào chi phí đầu tư xưởng fab

In thạch bản có sử dụng máy tính
sử dụng những thấu kính biến dạng [anamorphic] khử phóng đại một phía này hơn phía kia; hiện nay đã có một hố ở giữa những thấu kính chiếu, kết quả của việc có lớp cản quang mỏng đi; hiện nay những công ty mặt nạ chưa thay đổi phương pháp, hoặc công cụ; tất cả cần kết hợp vào những phương pháp in thạch bản có sử dụng máy tính [computational] được sử dụng để làm ra những quy tắc và mô phỏng cho những mặt nạ EUV
Nvidia có thư viện thuật toán cuLitho tạo điều kiện để thực hiện những tiến trình in thạch bản có-sử-dụng-máy-tính nhất định, ví dụ điều chỉnh khoảng cách quang học [OPC optical proximity correction] chỉnh sửa thiết kế chip để in tốt hơn, hoặc công nghệ in thạch bản nghịch đảo [ILT inverse lithography] làm lại ngược lại thiết kế chip để tối ưu hoá việc in
tháng 3 năm 2024 Nvidia công bố rằng TSMC và công ty Synopsys bán EDA bắt đầu đưa những thuật toán CuLitho vào thực tiễn
Nivdia lưu ý cách thức CuLitho giúp tối ưu hoá mô đun OPC trong giải pháp tổng hợp mặt nạ Proteus của Synopsys, tăng tốc độ và tiết kiệm thời gian (nhiều giờ)
TSMC rất cần những mô hình in thạch bản có-sử-dụng-máy-tính chính xác hơn, để tiên đoán những điểm nóng [hotspot] là những vùng nhất định trên thiết kế sẽ nhạy cảm với mạch hở [open circuit] hoặc đoản mạch
từ lâu, điểm nóng đã là vấn đề của in thạch bản, nhưng EUV khẩu độ cao sẽ càng dấy lên quan ngại; vì tất cả những vấn đề lỗi ngẫu nhiên, và chi phí đắt đỏ mua máy EUV khẩu độ cao, cho nên bất cứ cách nào giúp giảm lỗi đến mức mong muốn thì đều được cảm tạ
nhiều năm, đã có những bài viết nghiên cứu; nhưng những phương pháp khớp-mẫu-hình cũ đã bỏ qua một số điểm nóng, và những phương pháp máy học đã tìm ra quá nhiều báo động giả [false positive]

Kinh tế
cạnh tranh sừng sỏ nhất của máy in thạch bản EUV khẩu độ cao 300 triệu đôla, không phải máy Nhật hay máy Trung Quốc, mà chính của ASML là in qua nhiều lần những máy EUV khẩu độ thấp cũ
chi phí sở hữu máy in thạch bản sẽ được tính là chi phí khẩu hao chia cho tích số của thông lượng thô nhân với chỉ số tận dụng thiết bị, thông lượng được tính theo đơn vị đĩa wafer mỗi giờ xử lý
vấn đề thông lượng là gây ra bởi nguồn điện kém, nếu tăng độ phân giải của máy in thạch bản thì trừ khi cũng phải nâng cấp nguồn điện, còn nếu không thì thông lượng cũng giảm; lý do vì ngẫu nhiên [stochastic], cần thêm photon đập vào lớp cản quang để khắc phục thực tế rằng một tỷ lệ nhất định những photon sẽ 'quantum' đến chỗ không mong muốn
nếu nguồn điện không thể sản sinh đủ photon EUV, thì cách duy nhất để khắc phục là giữ wafer dưới nguồn sáng lâu hơn, làm giảm thông lượng
hiện nay ASML chưa tăng đủ công suất nguồn điện để khắc phục độ phân giải, hãng sẽ thực hiện bằng cách thay đổi laser bắn ra và tăng tốc tần số hạt thiếc lên thành 6 vạn hạt mỗi giây
thiết kế như trên, cần đo lường và mô hình hoá cách hành xử của thiếc hoá lỏng ở áp suất cao-hơn-khí-quyển bên trong máy ASML; chưa có công cụ hay phần mềm mô hình nào sẵn để phục vụ, cần công cụ đo lường học mới; viện đo lường quốc gia [NIM national institute of metrology] đang làm việc, cũng như Trung Quốc
ngành công nghiệp vẫn khăng khăng rằng synchrotron không phải giải pháp, và dường như không ai muốn thay đổi thiết kế nguồn điện EUV
chưa kể, lĩnh vực nửa-kích-thước [half-sized field], nhu cầu thay đổi toàn bộ chồng-việc lớp cản quang
Intel đang chạy thử nghiệm những thiết bị EUV khẩu độ cao với ASML, sẽ tốn kém
TSMC chưa bắt đầu mua sỉ những máy EUV khẩu độ cao, vẫn chờ hạ giá bán của máy và hệ sinh thái đi kèm
kỹ thuật mẫu-hình-nano mới tự-mẫu-hình được-định-hướng [DSP directed self-patterning] có thể hồi phục lỗi nhỏ hơn vây nhịp [pitch]
Pat Gelsinger của Intel trả lời phỏng vấn Ian Cutress ở kênh More-than-Moore rằng ông muốn ASML thử nghiệm những mặt nạ lớn hơn; nhưng những công ty mặt nạ sẽ không đồng ý làm, trừ khi TSMC và Samsung dự phần; cho nên ASML vẫn đang tìm cách
EUV tiếp tục tiến bộ, có ý kiến cho rằng ASML nên bỏ lại một số cách tiếp cận cũ và mang thêm những bộ phận vào nội bộ; sẽ mở rộng bề ngang của công ty, pha loãng sức tập trung và tăng cơ cấu chi phí
nếu cách tiếp cận tích-hợp-doanh-nghiệp này giúp ASML trình làng sản phẩm nhanh hơn và tránh được những đau đầu, có thể ASML sẽ cân nhắc

Thứ Hai, 8 tháng 4, 2024

mạng lưới thần kinh nhân tạo đầu tiên

Warren McCulloch và Walter Pitts là những người theo chủ nghĩa chiết trung; McCulloch là nhà thần kinh học, ngả theo hướng triết học, sử dụng cách tiếp cận triết học cho những câu học sinh học, cân nhắc những nền tảng logic của kiến thức
thập niên 1920 McCulloch bắt đầu hỏi rằng, liệu có tồn tại "sự kiện ngoại cảm" như trong căn bản của tâm lý học, hay như những nguyên tử trong vật lý
McCulloch hưởng lợi nhờ thời đại tăng trưởng kiến thức về đặc điểm tự nhiên của não bộ, sau rốt đã yên vị ở mạng lưới thần kinh và hoạt động 'nhị phân' là bắn và không-bắn là câu trả lời
sau đó, McCulloch cộng tác với thanh niên tự học toán Walter Pitts

Mạng lưới thần kinh
năm 1936 Turing xuất bản báo cáo nghiên cứu về máy logic, cho thấy rằng có thể thực thi bất cứ thuật toán nào trong một chuỗi những bước sơ bộ
năm 1943 Warren McCulloch và Walter Pitts xuất bản báo cáo nghiên cứu về mạng lưới thần kinh, cho rằng một mạng lưới những nơ-ron thần kinh, với hành vi nơ-ron bắn hoặc không-bắn là bước sơ bộ, cũng làm tương tự như khi có thể thực hiện phép toán logic; như phép toán đơn giản, quản lý dữ liệu và ứng dụng quy luật logic
McCulloch miêu tả nơ-ron khá đơn giản: lấy một số những đầu-vào, mỗi đầu-vào được cho một nhân tử trọng số; nếu kết quả của tổng đã-tính-trọng-số cao hơn một ngưỡng giá trị, nó sẽ kích hoạt, gửi đi một 1; nếu không sẽ gửi một 0, giữ im lặng
tác giả không có ý tạo nên một bản sao hoàn chỉnh của hành vi thần kinh, vẫn thiếu những hiểu biết có thể của mạng lưới thần kinh sinh học, mà ta biết ngày nay; ví dụ mạng lưới thần kinh sinh học có những độ trễ truyền tải, thực hiện những cú bắn đồng-bộ-hoá và mô đun hoá tần số của cú bắn; nơ-ron của McCulloch chưa có

Chủ nghĩa kết nối
năm 1949 nhà tâm lý học Donald Hebb người Canada đề xuất lý thuyết thần kinh tâm lý - xuất bản trong sách 'tổ chức của hành vi' - về cách thức những mạng lưới thần kinh học tập
ý tưởng cơ bản là khi hai nơ-ron cùng bắn liên tục, chúng sẽ củng cố những kết nối; kết nối càng mạnh, trọng số [weight] càng cao; lý thuyết của Hebb thường được tóm tắt là "tế bào cùng nhau bắn, nối dây cùng nhau" [cells that fire together wire together]
công trình nghiên cứu của McCulloch, Pitts và Hebb đã cho người ta ý tưởng rằng có thể tạo ra những hành vi con người phức tạp, sử dụng những mạng lưới được kết nối của những nơ-ron toán; trường phái sớm sau đó được gọi tên là chủ nghĩa kết nối [connectionism] xuất hiện trong sách của Hebb

Dartmouth
cuối thập niên 1940 đầu 1950 máy tính tiến bộ, những phần mềm và phần cứng mới; những niệm giới điện toán mới, ví dụ máy tính lưu trữ chương trình EDVAC được gây dựng dưới tư vấn của John Von Neumann
những lĩnh vực nghiên cứu mới xuất hiện, mang con người đến gần hơn với máy tính
năm 1955 giáo sư toán ở trường Dartmouth, tốt nghiệp đại học MIT, John McCarthy (ảnh trên) mở hội thảo mùa hè về cỗ máy suy nghĩ
tháng 11 năm 1955 McCarthy, Marvin Minsky (ảnh trên) và nhà tiên phong lý thuyết thông tin Claude Shannon (ảnh dưới) viết đề nghị gửi viện Rockefeller xin tài trợ để tổ chức hội thảo mùa hè năm 1956
McCarthy không muốn dự án bị liên tưởng đến phong trào cybernetic và rủi ro chạm trán với Norbert Weiner (ảnh dưới) nên McCarthy lấy chủ đề là 'trí tuệ nhân tạo' [artificial intelligence] và được công nhận là người phát minh ra cái tên ấy
John McCarthy viết trong thư gửi viện Rockefeller: "một nỗ lực được thực hiện để tìm cách khiến những cỗ máy sử dụng ngôn ngữ, hình thành những trừu tượng và khái niệm, giải quyết những loại vấn đề hiện tại đang dành cho con người, và tự cải thiện"

AI ký tự
từ tháng 6 đến tháng 8 năm 1956 hội thảo triển khai [rolling workshop] ở Dartmouth diễn ra nhiều bài thuyết trình, trong đó có Arthur Samuel (ảnh dưới) trình làng một chương trình máy tính để chơi cờ đam
năm 1952 Arthur Samuel lập trình một máy IBM 701 chơi cờ đam, sử dụng những ký tự và suy nghiệm [heuristic] đã được lập trình công khai; bấy giờ chưa có Fortran, lập trình viên phải viết bằng ngôn ngữ máy Assembly; chương trình của Samuel là một ví dụ của AI biểu trưng [symbolic AI]
hai lập trình viên Herbert Simon (ảnh trên) và Allan Newell trình làng chương trình 'lý thuyết gia logic' [logic theorist] chứng minh 38 định lý toán học trong sách "Các nguyên lý toán học của triết học tự nhiên" do Isaac Newton xuất bản bằng tiếng La-tinh ngày 5 tháng 7 năm 1687; hai người hơi ngạo mạn, khiến những người tham gia hội thảo ở Dartmouth đón nhận kém nồng nhiệt; nhưng dù sao thì công trình nghiên cứu cũng đã có tác động
Simon, Newell và cộng tác viên John Clifford Shaw (ảnh dưới) sau đó làm việc một số chương trình AI, ví dụ trình giải vấn đề chung [general problem solver], và công bố một bộ khung cho những AI này, hệ thống biểu trưng vật lý; là một lý thuyết rằng trí thông minh con người xuất phát từ việc thao túng những ký tự vật lý, sử dụng một tập hợp những quy tắc; chương trình trí tuệ nhân tạo Eliza được phát triển theo cách này
Học máy
năm 1955 Arthur Samuel lập trình chương trình cờ đam tự chơi với chính nó để tự cải thiện trình độ, tự biên tập những suy nghiệm [heuristic] của chính nó; sau đó, Samuel xuất bản một báo cáo nghiên cứu, gọi kỹ thuật này là 'học máy' [machine learning]
năm 1956 ở Dartmouth, những kết luận của những bài thuyết trình là bỏ lửng, cho nên McCarthy tin rằng buổi hội thảo mùa hè đã thất bại; khán giả cũng không thích thuật ngữ 'trí tuệ nhân tạo' cho lắm

Rosenblatt
năm 1948 Alan Turing (ảnh dưới) viết về việc sử dụng máy tính kỹ thuật số để mô phỏng toàn bộ mạng lưới nơ-ron và những kết nối, cho rằng mạng lưới ấy có thể thực hiện bất cứ tác vụ nào một người có thể thực hiện; báo cáo này của Turing có thể coi là tiên phong chủ nghĩa kết nối, nhưng bấy giờ chưa có những máy tính được lưu trữ được lập trình
nhà tâm lý học Frank Rosenblatt là bạn học cấp ba của Marvin Minsky, nghiên cứu tâm lý xã hội ở trường đại học và lấy bằng tiến sĩ ở trường Cornell; sau đó Rosenblatt vào phòng thí nghiệm hàng không Cornell được học bổng của văn phòng nghiên cứu Naval
Rosenblatt nghiên cứu tâm lý học và sức phán đoán con người của một phi công khi điều khiển máy bay hạ cánh; quân đội Mỹ trao một ít tài trợ để ứng dụng lý thuyết xác suất lên bộ nhớ và tri giác con người

Thuật toán perceptron
năm 1957 perceptron là một mô hình thông kê bên trong máy tính IBM 704, kết quả đủ hứa hẹn để đội ngũ Rosenblatt bắt đầu phát triển một thiết bị
tháng 7 năm 1958 trước khi cỗ máy hoàn thiện, Rosenblatt tổ chức họp báo và đưa ra nhiều bình luận quảng bá những khả năng của Perceptron
từ báo New York Times trích lời Rosenblatt rằng perceptron là thiết bị điện tử đầu tiên sẽ nghĩ như não người, rằng nó sẽ "lĩnh hội, nhận biết và xác định những thứ xung quanh, không cần con người huấn luyện hay điều khiển"
chức sắc Hải Quân ở cuộc họp báo đã hồ hởi rằng họ lưỡng lự không muốn gọi Perceptron là một cỗ máy, giống như một "con người không có sự sống" hơn

Mark 1
máy perceptron Mark 1 của Rosenblatt được thiết kế để xác định những mẫu hình dị biệt; sau khi được cho xem đủ những ví dụ của những mẫu hình ấy, máy có thể lớn lên để nhận biết được chúng
Mark 1 sử dụng một tập hợp những đơn vị giác quan sẽ tiếp nhận ánh sáng hoặc âm thanh: đây gọi là hệ thống giác quan S-units sản xuất ra những tín hiệu nhị phân, đưa vào một lớp những nơ-ron McCulloch-Pitts; gọi là hệ thống liên kết A-units
nơ-ron bên trong A-units lấy một tổng đã-tính-trọng-số của những đầu-vào và kiểm tra nó lần nữa với những ngưỡng nội bộ, được sắp đặt bởi McCulloch-Pitts; nếu đạt, nơ-ron sẽ cho tín hiệu đi qua, đến một lớp những đơn vị động cơ [motor] gọi là hệ thống phản ứng [response system]; phản ứng này thường được đưa ra là một loạt những ánh sáng, những người vận hành có thể đánh giá và sửa nếu cần
Rosenblatt đóng góp chính là một phương pháp luận để huấn luyện mạng lưới thần kinh; mới đầu, tất cả trọng số của các nơ-ron được đặt ngẫu nhiên, như Rosenblatt tin rằng những 'trọng số' của một đứa trẻ là thế
để huấn luyện máy, nó sẽ được cho xem một tác nhân kích thích [stimuli] như một bức tranh chữ cái X và được phép phản ứng với nó nhờ hệ thống phản ứng R-system
sau đó, người xem cung cấp thông tin phản hồi cho máy, bằng cách điều chỉnh trọng số, tuỳ theo phản ứng là đúng hay sai
Rosenblatt cho rằng nếu Perceptron có thể làm một tác vụ phân loại nào đó, thì nó có thể được 'dạy' tác vụ ấy, sử dụng thuật toán huấn luyện của ông trong một số hữu hạn những chu kỳ huấn luyện

Người hâm mộ
cuối thập niên 1950 Rosenblatt viếng thăm viện nghiên cứu Stanford, nay là SRI International, để rao giảng; Bernard Widrow và sinh viên Marcian Ted Hoff bị thuyết phục và đã tạo nên những thiết bị mạng lưới thần kinh là ADALINE và MADALINE
những bài viết trên những tạp chí như Science đã đặt câu hỏi liệu não người sẽ bị thay thế, Rosenblatt nói rằng "Perceptron sẽ nhận thức được sự tồn tại của chính nó" và rằng "ta có một cỗ máy có thể có những ý tưởng nguyên bản" [original idea]
năm 1960 máy perceptron Mark 1 được trình làng, Rosenblatt và cộng đồng mạng lưới thần kinh đã sẵn lòng thừa nhận sự thiếu sót của cỗ máy; những năm sau, Rosenblatt bắt đầu hối hận về ảnh hưởng của những lời nói của mình lên lĩnh vực
năm 1962 sách giáo khoa "Những nguyên lý của động lực thần kinh" của Rosenblatt đã mở đầu với thừa nhận thiếu sót của Perceptron trình làng năm 1958; Rosenblatt cảm thấy sai lầm khi người ta coi Perceptron là một cỗ máy suy nghĩ, thay vì một khoá học những mô hình, bắt chước hệ thống thần kinh trung ương
dù sao, luận điệu của Rosenblatt đã gây khó chịu nhiều người, nhất là cộng đồng AI biểu trưng [symbolic AI], những người cảm thấy công việc của chủ nghĩa kết nối là không 'mang tính khoa học'

Marvin Minsky
mới đầu Minsky có làm một số công việc trên mạng lưới thần kinh nhân tạo, nhưng đã bỏ; từng ở MIT, Minsky và đồng sự Seymour Papert là người ủng hộ lĩnh vực AI biểu trưng
thập niên 1960 Minsky cho rằng Perceptron có hạn chế; mặc dù Minsky và Rosenblatt có vẻ hoà thuận, Rosenblatt được báo chí chú ý có vẻ đã khiến Minsky thù cá nhân; rồi cạnh tranh quỹ tài trợ nghiên cứu và những nghiên cứu sinh
mạng lưới thần kinh nhân tạo và những phương pháp 'chủ nghĩa kết nối' khác đã cạnh tranh với phương pháp Minsky ưa chuộng hơn cho AI là 'biểu trưng' [symbolic]
có người cho rằng mục đích của Minsky và Papert là thành thật, và cả hai đã nói rằng những Perceptron nhỏ sẽ tốt cho một số vấn đề nhất định, đơn giản; nhưng những vấn đề khó, có những hạn chế mà họ không thấy cách nào khắc phục

Chỉ trích
nửa cuối thập niên 1960 chỉ trích toán học của Minsky và Papert vẫn lưu hành trong cộng đồng
chỉ trích cho thấy, có những hạn chế với mô hình Perceptron một-lớp, nôỉ tiếng nhất là vấn đề XOR (phép tuyển loại trừ)
những perceptron một-lớp sẽ giỏi phân loại những thứ đã tách biệt tuyến tính, ví dụ hình ảnh những con mèo hoặc chó Shiba trên bàn; nếu mèo và chó Shiba được bố trí sao cho có thể tách biệt chúng bởi một đường thẳng, thì ấy là tách biệt tuyến tính; nhưng một số chức năng [function] khác, đây sẽ không dễ; phép tuyến tính loại trừ XOR là một trong số ấy
XOR lấy 2 tính năng nhị phân, cho ra một số nhị phân là 1 hoặc 0; hàm sẽ trả về 1 chỉ khi một và chỉ một trong số hai đầu-vào là 1, nếu không sẽ trả về 0; không thể vẽ ra một đường thẳng để bao hàm tất cả những đầu-vào và đầu-ra đúng của hàm XOR
chỉ trích lớn thứ hai của Minsky và Papert là mạng lưới thần kinh nhân tạo sẽ tăng tính phức tạp tính toán: nếu Perceptron muốn lĩnh hội một hình ảnh phức tạp 'được kết nối', ví dụ một chữ cái hoặc một nhóm những chữ cái, vì máy sẽ cần thêm đơn vị; đây vẫn là hạn chế của những đầu-ra nhị phân perceptron
cần huấn luyện lại mạng lưới thần kinh nhân tạo, đây giống như một lập trình viên sẽ cần tái phát triển toàn bộ chương trình từ đầu [scratch] bất cứ khi nào vấn đề bị lớn hơn; chương trình này không tăng được quy mô [scale]
cuối cùng, Minsky và Papert bổ sung rằng những vấn đề sẽ dễ dàng giải quyết được với những thuật toán biểu tượng trong máy tính

Sa lầy
năm 1969 Minsky và Papert xuất bản sách Percentrons; huyền thoại rằng cuốn sách đã chấm dứt phong trào chủ nghĩa kết nối [connectionism]
nhưng từ lâu, chỉ trích những perceptron một-lớp đã được biết đến và có thể khắc phục nhờ những perceptron đa lớp; vấn đề là những nghiên cứu sinh không biết làm sao huấn luyện những mạng lưới sâu hơn ấy
thuật toán học Perceptron của Rosenblatt sử dụng để huấn luyện máy perceptron đã chỉ hoạt động trên những mạng lưới một-lớp; vì những trọng số, đi vào mỗi nơ-ron của R-system, được tách biệt khỏi nhau và ta biết đầu-ra mong muốn; nếu có nhiều hơn 1 lớp perceptron, ta biết kết quả mong muốn của lớp cuối cùng; nhưng những kết quả của lớp trung gian, lớp 'ẩn' [hidden layer]; Rosenblatt và cộng đồng mạng lưới thần kinh nhân tạo đã không thể tìm được cách, có lẽ vì quá bấu víu vào thuật toán huấn luyện một-lớp
thí nghiệm với perceptron 2-lớp, Rosenblatt đã ngẫu nhiên hoá lớp đầu tiên và đông cứng nó, huấn luyện lớp thứ hai như trước
những thiếu sót của những mạng lưới một-lớp và thiếu tiến triển trong huấn luyện những mạng lưới đa lớp, AI mạng lưới thần kinh đã dừng, nhường cho những cách tiếp cận AI biểu trưng
đội ngũ ở Stanford từng tiên phong ứng dụng chủ nghĩa kết nối, đã quay xe; Ted Hoff rời nhóm của Widrow sang làm ở Intel, nơi Ted có ý tưởng đã dẫn đến bộ vi xử lý đầu tiên
bấy giờ Rosenblatt sa đà vào nghiên cứu sự chuyển đi của hành vi đã-được-học qua những chiết xuất hoá học, bắt đầu làm thí nghiệm huấn luyện giun dẹp đi qua mê cung, và sau đó lấy những hoá chất của những giun dẹp ấy mang cho những giun dẹp mới ăn
Rosenblatt tham gia những phong trào phản chiến và chống lại kẻ phân biệt kỳ thị chủng tộc ở trường Cornell, làm việc với những nhà hoạt động để tăng sinh viên đại diện ở các trường đại học, và thậm chí nhón chân vào chính trị năm 1968 với đảng dân chủ
năm 1971 Rosenblatt mất trong một tai nạn thuyền, hưởng thọ 43; có 3 nghị sĩ đã bày tỏ lòng thương tiếc, thể hiện sức ảnh hưởng của Rosenblatt bấy giờ; Minsky đã dành cuốn sách Perceptron để tưởng nhớ Rosenblatt
Rosenblatt mất đã đổi hướng tài trợ, nhất là của chính phủ Mỹ, khỏi những mạng lưới thần kinh nhân tạo, chuyển sang những phương pháp phát triển AI biểu trưng

Yuxi Liu
ngày 11 tháng 1 năm 2024 nghiên cứu sinh tiến sĩ Yuxi Liu ở trường Berkeley xuất bản bài viết Perceptron miêu tả sâu và toàn diện hơn về tranh cãi hồi ấy
sau nhiều năm, nhiều nghiên cứu sinh đã bổ sung những phức tạp mới vào Perceptron để nó hữu ích hơn; ví dụ hàm sigmoid thay cho nhị phân
thập niên 1980 thuật toán truyền ngược [backpropagation] nổi lên, để huấn luyện những mạng lưới đa-lớp
năm 1962 Rosenblatt nêu lên thuật ngữ 'sửa lỗi truyền ngược' [back propagating error correction] nhưng thuật toán nhắc đến đã rất khác

Chủ Nhật, 7 tháng 4, 2024

Đài Loan động đất

7 giờ 58 phút sáng ngày 3 tháng 4 năm 2024 Đài Loan nếm trải trận động đất mạnh 7.2 độ richter, hiện ước tính 13 người chết và một trăm người bị thương vì đá lở, có người bị mắc kẹt
TSMC, UMC và những công ty đúc linh kiện bán dẫn lớn ở Đài Loan thông báo rằng ảnh hưởng tài chính là thấp
năm 1999 trận động đất gây thiệt hại những công ty công nghệ Tân Trúc đã rùm beng truyền thông thế giới

Động đất 921
tháng 9 năm 1999 trận động đất mạnh 7.3 độ richter đánh vào huyện Nam Đầu giết hại 2400 người và làm bị thương 11000 người nữa; thiệt hại nặng thứ nhì lịch sử Đài Loan, chỉ sau trận động đất mạnh 7.1 độ richter ở Đài Trung tháng 4 năm 1935 giết hại 3200 người và làm bị thương 12000 người khác, phá huỷ 5 vạn ngôi nhà
trận động đất năm 1999, TSMC báo cáo thiệt hại 17000 đĩa wafer đang bên trong thiết bị xử lý, bằng một nửa sản lượng hằng tháng; những hãng bán dẫn khác đã không đưa ra số liệu wafer thiệt hại
năm 1999 nhu cầu silic TSMC tăng 40% so với năm trước, động đất đã gây thiếu hụt máy tính cá nhân và đồ điện tử ở Mỹ và Nhật Bản
năm 1999 TSMC chiếm 7% thị phần mạch tích hợp thế giới, trận động đất gây thiệt hại ngành linh kiện bán dẫn Đài Loan là 10 tỷ đôla Mỹ, tương đương 18 tỷ đôla thời giá hôm nay

Fab
năm 1999 những xưởng fab của TSMC và các hãng chế tạo linh kiện bán dẫn Đài Loan có cấu trúc hai-vỏ [double-shell]; bên ngoài là vỏ fab, một cấu trúc một tầng có những giàn [truss] mái dài [long span roof], giúp mái được xây dựng để che rộng, mà không cần chống đỡ ở phía trong
bên trong vỏ fab là một cấu trúc nhiều tầng, làm từ bê tông cốt thép; phòng sạch ở tầng trên, một fab-phụ sạch thứ hai ở dưới sẽ chứa thiết bị hỗ trợ
một lớp đệm không khí [air gap] giữa vỏ fab và cấu trúc bên trong, để che chở phòng sạch khỏi những vi-rung-chấn [micro-vibrate] đến từ gió bên ngoài
cấu trúc hai-vỏ nằm trên một móng bê tông dày 2-3 mét để giảm thiểu rung chấn của ô tô đi qua hoặc công trình xây dựng gần đấy; có thể cắm cọc [pile] xuống đất để chống đỡ
việc xây dựng chỉ chiếm 3-5% tổng chi phí xưởng fab, trong khi 75-80% để mua thiết bị bên trong

Rung chấn
năm 1999 động đất có chấn tâm [epicentre] cách công viên khoa học Tân Trúc chỉ 100 km, gia tốc nền cực đại [PGA peak ground acceleration] đo ở mức 0.1 đến 0.15 lực G
tháng 4 năm 2024 chính phủ Đài Loan đo thấy động đất ảnh hưởng Tân Trúc ở PGA là 0.14 lực G, tức là 140 cm mỗi giây; PGA ở Đài Nam có phức hợp sản xuất lớn của TSMC chỉ 25 cm, tức là 0.025 lực G

An lành
năm 1999 động đất ít gây thiệt hại công trình, ngoài trừ một nhà máy ở Tân Trúc nằm trên một lòng hồ cổ xưa; hầu hết nứt gãy nhỏ của những tấm lát [tile] bên ngoài, cũng như nứt gãy ở tường bên trong
bên trong cấu trúc, ước tính lực gia tốc cần thiết để di chuyển một thiết bị thông thường nằm trên tầng phòng sạch sẽ được đo PGA ở mức 0.2 lực G; cho thấy chỉ lực ma sát cũng đủ giữ hầu hết những công cụ đứng yên, không cần cố định [anchor]
báo cáo quan sát cho biết hầu hết các công cụ đã không được cố định [anchor] ngoài trừ những công cụ có chứa chất hoá học độc hại
vì phòng sạch nằm trên một cấu trúc bê tông nhiều tầng, rủi ro rung chấn sẽ tăng như đồ chơi rút gỗ
chưa kể rủi ro thiệt hại thứ cấp, vì xử lý wafer cần sử dụng chất hoá học độc hại như axit hydro florua và sulfuric, rò rỉ sẽ nhiễm bẩn phòng sạch; những cơ sở bán dẫn hiện đại có những hệ thống dừng [shut down] những đường ống khi phát hiện rung chấn bất thường [unnatural]
có một số báo cáo rằng những công cụ khắc [etch] ướt, căn bản là những bể chất hoá học để nhúng đĩa wafer vào để khắc axit [etch], đã bắn tung toé chất hoá học lên khi động đất rung chuyển; những chất lỏng bắn ra đã chảy vào hệ thống xử lý chất thải
có báo cáo rằng những máy phun [sprinkle] ngừng hoạt động ở một nhà máy ở Tân Trúc, không rõ công ty nào, đã huỷ hoại một máy in thạch bản ánh sáng và làm hư hại một máy khác

Lò nung khuếch tán dọc
những lò khuếch tán, giúp pha tạp linh kiện silic, thường sử dụng ở công đoạn pha tạp của tiến trình sản xuất linh kiện bán dẫn; "dọc" tức là lò được đứng dọc, tạo điều kiện để xử lý những mẻ [batch] đĩa wafer cùng lúc; có thể cao đến 3 mét
năm 1999 đến 99% những lò khuếch tán dọc bị động đất gây thiệt hại; thiệt hại được định nghĩa là thiệt hại ống silica, lệch vị trí [position gap] hoặc đĩa wafer rơi khỏi những cái kẹp [securing]; những lò khuếch tán dọc rất cao và mỏng, cho nên nhạy cảm với rung chấn
năm 2013 công ty xây dựng Taisei Corporation báo cáo đã lắp đặt một công cụ cô lập sóng địa chấn, để khắc phục; căn bản như một hệ thống bóng-lăn-trên-đường-ray đặt bên dưới dãy những thiết bị lò nung
lò sẽ lấy khí và điện từ một hệ thống thứ cấp ở bên dưới sàn, cho nên hệ thống cô lập địa chấn, bao gồm một hệ thống đường ống [pipe] linh hoạt là để đảm bảo những kết nối ở bên dưới sàn sẽ không bị gãy
năm 2013 TSMC thông báo một nghiên cứu tình huống, rằng đã lắp đặt một nền tảng cô lập địa chấn, tương tự cho những công cụ lò nung dọc của hãng

Stocker
năm 1999 động đất khiến nhiều đĩa wafer rơi ra khỏi stocker và FOUP; những đĩa wafer công-việc-đang-tiến-hành [WIP work-in-progress] không đi loanh quanh xưởng fab một cách 'tơ hơ' [expose], mà được đóng hộp trong những giỏ [pod] gọi là "hộp chứa có cửa mở ở mặt trước" [FOUP front opening unified pod]
khi đợi bước xử lý tiếp theo, những wafer và FOUP được để trên những giá dọc [shelf] gọi là những stocker thường cao 4-5 mét và làm từ nhôm
kinh nghiệm động đất năm 1999 khiến nhiều hãng sản xuất đã lắp đặt những nút chặn [stopper], niềng [brace] và bộ giảm xóc [damper] để cố định [secure] những stocker
tháng 2 năm 2016 động đất 6.4 độ richter có tâm chấn ở Cao Hùng, chỉ rộng 23 km nhưng không xa những fab ở Đài Nam; vì gần gũi [proximity] với những fab chính của TSMC, động đất Đài Nam đã làm chậm trễ 12 vạn đĩa wafer ở Fab 6 và 12A muộn mất 10-50 ngày
trong trận động đất ấy, những fab đã nếm trải PGA là 0.3 lực G nhưng vì những stocker cao được đóng đinh xuống mặt sàn nên những FOUP nếm trải những lực gia tốc gấp đôi; những đĩa wafer đã va đụng và một số bị rơi ra
nghiên cứu mới đã khuyên rằng nên lắp đặt những bộ giảm xóc ở gần đỉnh của stocker, thay vì đặt giữa những giá [shelf] lân cận, như trước đó đề xuất; không rõ TSMC có làm theo không
năm 2017 nghiên cứu tình huống đã cho thấy TSMC đã củng cố những tháp stocker bằng cách bổ sung những nút chặn

Bộ giảm xóc
năm 1999 động đất cho thấy TSMC và những công ty khác cần nâng cấp những fab cũ; khó khăn vì sàn fab-phụ đã đầy thiết bị, hạn chế không gian cho thiết bị mới
chưa kể, việc nâng cấp sẽ không được ảnh hưởng việc sản xuất bán dẫn đang diễn ra; không thể dừng dây chuyền sản xuất, không thể sử dụng khí [gas], hàn; và phải tránh tạo ra những hạt bụi
những kỹ sư TSMC sử dụng những bộ giảm xóc nhớt [viscous] là những pít tông thuỷ lực, được lắp vào khoảng trống giữa vỏ fab và cấu trúc bên trong
động đất, bộ chống rung nhớt sẽ hấp thụ năng lượng địa chấn, xua tan nó; mô phỏng máy tính những trận động đất có PGA 0.3 lực G đã cho thấy giảm áp lực lên những bệ đỡ [support] và giảm lực tăng tốc của sàn phòng sạch đi 20%
tuy nhiên, được cảnh báo rằng bộ giảm xóc sẽ không tác dụng nhiều nếu động đất qúa mạnh, đặc biệt nếu mạnh hơn động đất mà hệ thống đang được thiết kế cho
những xưởng fab bán dẫn mới sẽ được xây sau này, có thể xây với những công nghệ chống-địa-chấn hiện đại; ví dụ nâng sàn để bổ sung một khoảng không để cô lập địa chấn, và đường ống nổi [floating pipe] xua tan năng lượng địa chấn xuống nền đất; đã miêu tả trong nghiên cứu tình huống năm 2017 của TSMC

Cảnh báo sớm
năm 1986 sau động đất ở Hoa Liên, Đài Loan đã phát triển 3 mạng lưới cảm biến động đất nằm khắp đảo, quản lý vận hành bởi những tổ chức chính phủ và liên kết trường đại học
TSMC có thể đã áp dụng mạng lưới được gây dựng bởi Trung tâm quốc gia nghiên cứu về động đất [NCREE] ở Đài Bắc
khi động đất xảy ra, những sóng địa chấn sẽ đi ra từ tâm chấn, như những gợn sóng trong ao; những sóng chính P-wave [primary] có cường độ thấp, sau đó những sóng thứ cấp S-wave[ secodary] là những sóng âm bề mặt lớn, chậm hơn sóng chính nhưng mạnh hơn
sóng âm bề mặt [SAW surface acoustic wave] đã góp phần hiểu biết thuở đầu trong nỗ lực khoa học đã giúp thiết kế những bộ lọc tần số vô tuyến [RF radio frequency filter
mạng lưới cảnh báo sớm ở Đài Loan có hàng chục trạm, đo lường PGA trong 1-3 giây và gửi tín hiệu đến máy chủ trung tâm; máy chủ sử dụng một thuật toán để xác định liệu có phải động đất và gửi cảnh báo
sóng âm có thể di chuyển 80 km trong 15-20 giây; nếu hệ thống cảnh báo sớm hoạt động như thiết kế, nó có thể cho con người và xưởng fab 3-17 giây cảnh báo trước, tuỳ thuộc vị trí tâm chấn
ban đầu chỉ dành cho trường học Đài Loan, năm 2015 TSMC lắp đặt hệ thống cảnh báo sớm và đã giúp ích trong trận động đất tháng 2 năm 2016
tháng 4 năm 2024 động đất đã không kích hoạt hệ thống cảnh báo sớm, tác giả ở Đài Bắc đã không nhận được thông báo điện thoại

Thiệt hại thực tế
năm 1999 động đất gây thiệt hại tài chính chủ yếu vì làm gián đoạn điện vận hành những xưởng fab, do hậu quả động đất và dư chấn; những tuần sau, chính phủ Đài Nam đã vất vả khôi phục điện ở Tân Trúc, bất chấp rằng công viên khoa học được ưu tiên nhà nước về điện lưới hơn khu vực dân cư
cắt điện đã gây ra sang áp [voltage sag] cho thiết bị in thạch bản DUV trị giá 50 triệu đôla
ngày 1 tháng 1 năm 2000 TSMC ngừng sản xuất vì lo ngại sự cố Y2K sẽ gây cắt điện
sau động đất, gián đoạn điện lưới đã tiếp diễn thêm 2 tuần; mặc dù những xưởng fab đã xây dựng những biện pháp khẩn cấp cho "sang áp", những cách ấy không được thiết kế để cấp điện những xưởng fab được lâu dài
cắt điện, nhân viên xưởng fab phải chờ có điện trở lại thì mới tìm hiểu được tình hình; wafer WIP phải được đánh giá và vứt bỏ; mất 2-3 ngày nữa để sửa chữa và hiệu chuẩn lại [recalibrate] máy móc
95% thiệt hại của 10 tỷ đôla không phải hư hại vật lý đến thiết bị và cơ sở, mà là mất doanh thu từ suy giảm hạ tầng và gián đoạn kinh doanh
năm 2024 công ty điện lực Taipower đóng cửa 4 nhà máy điện để kiểm tra, theo đó cắt điện nửa đông của đảo; ở nửa tây, điện lưới vẫn duy trì, có thể nhờ mới xây dựng điện mặt trời và điện gió

Kết
tờ báo Nikkei châu Á đăng gợi ý về công việc cần thiết để đưa dây chuyền trở lại hoạt động: thanh tra những wafer, kiểm tra những máy móc, vận hành những quy trình
sẽ mất vài tuần trước khi mọi thứ trở lại bình thường, cần tất cả mọi người cùng tham gia; truyền thông Đài Loan đăng tin rằng bãi đậu xe không còn chỗ trống cho đến đêm muộn, theo sau hậu quả động đất
tháng 4 năm 1934 động đất có dư chấn 6.0 độ richter đánh vào Tân Trúc, người Đài Loan không xa lạ gì với thảm hoạ tự nhiên động đất, biết những kỹ thuật để ameliorate nó

đưa mạng lưới cấp điện của chip bán dẫn xuống mặt-sau

Intel tiên phong nghiên cứu phát triển cổng kim loại hằng-số-điện-môi-K-cao, bóng bán dẫn hiệu ứng trường vây-cá, strain silic engineering
nút tiến trình 18A sắp tới của Intel sẽ tiên phong bóng bán dẫn cổng-bao-quanh và mạng lưới cấp điện mặt-sau [backside power delivery]

Doug O'Laughlin
trung tâm vi điện tử liên trường đại học [IMEC interuniversity microelectronics centre] ở Bỉ tiên phong xuất bản nhiều tài liệu về hệ thống cấp điện mặt-sau
khi nhận được một tín hiệu điều khiển, bóng bán dẫn sẽ bật hoặc tắt; để thực hiện việc này, bóng bán dẫn cần điện năng được cấp ổn định ở một điện thế thích hợp
nếu điện thế quá thấp, bóng bán dẫn sẽ không bật/tắt đúng mực; giảm 1% điện thế ở cổng sẽ giảm 1% tần số hoạt động của chip, thiếu điện thế có thể gây ra những lỗi căn thời gian [timing error] gây ra lỗi màn hình xanh
nếu điện thế quá cao, điện năng tiêu thụ của một mạch bật/tắt sẽ tỷ lệ với bình phương của điện thế; nếu điện thế quá cao, cổng ôxit của bóng bán dẫn sẽ bị đánh thủng khỏi trường điện; mức điện thế, tại đó hiện tượng này xảy ra, gọi là 'tường tin cậy' [reliability wall]
những khu vực khác nhau của mạch tích hợp sẽ có yêu cầu điện thế khác nhau, những nhà thiết kế sẽ phân khúc con chip thành những 'miền điện năng' [power domain]; một số hệ-thống-trên-chip di động có hàng trăm miền điện năng để tối ưu nhất sự tiêu thụ điện
cho nên, cấp điện là một ví dụ cho nguyên tắc Goldilocks, chỉ dao động đâu đó 5-10% và phải được cấp điện một cách ổn định, hiệu quả và tin cậy

Mạng lưới cấp điện
nguồn máy tính [PSU power supply unit] sẽ cung cấp một điện áp cao và dòng điện cho CPU qua những ổ cắm [socket] và những 'pin' ổ cắm gắn CPU với bo mạch chủ
với những chip di động, thay vì những 'pin' ổ cắm thì sẽ là những bóng hàn [solder ball] vì chân 'pin' quá to
đi vào chip, điện năng sẽ di chuyển qua đóng gói [packaging] chip vào những bóng bán dẫn, sử dụng một mạng lưới 'tuỳ chỉnh' [customize] những dây kim loại [interconnect] và hố-xuyên [via] khắp con chip; những hố-xuyên sẽ đi qua nhiều lớp của IC
hệ thống có 2 loại thiết bị nữa là mô đun điều chế điện áp [voltage regulator] và tụ điện cách ly [decoupling capacitor]
mô đun điều chế điện áp sẽ tăng áp hoặc giảm áp để đáp ứng nhu cầu những miền điện năng của IC; đôi khi mô đun điều chế điện áp không phản ứng đủ nhanh, cho nên cần thêm tụ điện cách ly
nếu một vi xử lý đột nhiên đẩy-mạnh-sản-lượng [ramp up], hoạt động đột ngột có thể gây sự tăng vọt điện áp [spike] vì điện cảm trong những dây kim loại [interconnect]; lúc này, điện tích thặng dư có thể được chuyển hướng đến một tụ điện cách ly và được lưu trữ, phòng trường hợp sụt áp [voltage dip]
gọi hệ thống những nguồn điện, dây kim loại, mô đun điều chế điện áp và những tụ điện cách ly là mạng lưới cấp điện [PDN power delivery network]

Điện áp rơi thuần trở
vai trò của PDN là phân phối điện năng và điện áp, đồng thời giữ cho những nhiễu ở mức tối thiểu; khó vì dây kim loại [interconnect] có điện cảm ký sinh và điện trở ký sinh
điện trở sẽ khiến điện áp ở cuối dây kim loại sẽ thấp hơn ở nguồn điện ở đầu dây kim loại, ngành công nghiệp gọi ấy là điện áp rơi thuần trở [IR drop]

Thu nhỏ kích thước thiết bị ở mặt-trước
suốt lịch sử ngành linh kiện bán dẫn, dây kim loại vẫn nằm ở phía trên của bóng bán dẫn; tưởng tượng một đĩa wafer silic, những bóng bán dẫn được gây dựng vào bề mặt của silic; sau đó người ta sử dụng lắng đọng, in thạch bản và khắc axit [etch] nhiều lớp những dây kim loại và hố-xuyên lên trên; đâu đó 10-20 lớp
mạng lưới cấp điện sẽ đưa điện từ nguồn điện chung [global] từ trên, đi ra và xuống những bóng bán dẫn ở đáy; xuống càng sâu, dây kim loại sẽ càng nhỏ; thiết kế này gọi là mạng lưới điện mặt-trước [frontside power network]

Chi phí của luật Moore
hiện nay một IC có hàng tỷ bóng bán dẫn, đều cần cấp điện, người ta đã thêm nhiều lớp những dây kim loại [interconnect] dẫn-điện đã giao thoa với những dây kim loại [interconnect] dẫn tín hiệu điện; cách duy nhất để giải quyết là thu nhỏ những dây kim loại dẫn điện, ngày nay đường kính chỉ 20 nanomet
cách đây 20 năm, điện trở là một trong những lý do ngành bán dẫn chuyển từ dây kim loại nhôm sang dây kim loại đồng
ngày nay là những nút tiến trình tiến bộ hơn 5 nanomet, những vấn đề điện trở cũ đã quay lại; ở 20 nanomet, đồng không phải kim loại hữu ích nhất, bất chấp điện trở khối [bulk resistance] thấp nhất, chỉ 1.664 micro-Ohm mỗi cm
electron đi qua dây đồng sẽ dễ 'đào ngũ' và rải rác, thay vì đi thẳng theo một con đường liền mạch (quãng đường tự do trung bình của điện tử); cho nên ở 20 nanomet, electron trong dây đồng sẽ dễ rải rác [scatter] hơn, khiến điện trở cao hơn so với khả năng của những dây kim loại khác
thêm nữa, dây đồng sẽ cần những lớp rào [barrier] ngăn kim loại đồng khỏi nhiễm bẩn silic, những ống-bọc [liner] thường làm từ tantal nitride có điện trở rất cao; các nhà thiết kế chip đã phải đánh đổi những nguồn lực tín hiệu để củng cố hệ thống cấp điện
IMEC đề nghị một bộ những cải tiến: những thang điện được chôn [buried power rail] và sau đó là BSPN [backside power network]

Chôn thang điện
mặc dù có nhiều cải tiến trên bề mặt silic và những bóng bán dẫn, chưa có cải tiến gì nhiều ở bên dưới; đây là lúc công nghệ thang điện được chôn [buried power rail] đã xuất hiện
họ gây dựng những thiết kế từ những nhóm bóng bán dẫn FinFET gọi là những ô [cell] tiêu chuẩn; thang điện [power rail] là những dải dây kim loại ở mức thấp nhất, đưa điện năng lên những hàng bóng bán dẫn kế tiếp bên trong những ô tiêu chuẩn
họ đo lường những ô tiêu chuẩn ấy theo đơn vị 'ray' [track]; thông thường, một ô tiêu chuẩn ở thế hệ nút 3 nanomet sẽ cao 6 'ray'
vì những vấn đề điện cảm ký sinh và điện trở ký sinh, những thang điện [rail] đã không tăng được quy mô nữa; nay, thang điện chiếm chỗ 2 trong số những 'ray', làm chật chội ô tiêu chuẩn
IMEC đề nghị 'thang điện được chôn' là đào rãnh vào nền silic, sử dụng phương pháp là cô lập rãnh nông [shallow trench isolation]
họ sử dụng phương pháp lắng đọng lớp nguyên tử để đặt những kim loại, để làm những thang điện, vào rãnh; công việc được thực hiện ở cùng công đoạn khi chế tạo bóng bán dẫn, gọi là front-end của dây chuyền sản xuất [FEOL front end of the line]; nhiệt độ ở công đoạn này quá nóng cho kim loại đồng, nên họ sử dụng vonfram hoặc rutheni
ưu điểm là, với chiều rộng của dây kim loại là 20 nanomet, dây vonfram hoặc rutheni có điện trở thấp hơn đáng kể so với đồng; lý do đã giải thích ở trên, electron rải rác...
chuỗi cung của vonfram là khá dồi dào; còn rutheni thì không cần một lớp rào kim loại dày, chỉ cần một lớp mỏng để bám lên bề mặt
nhược điểm là, những xưởng đúc [fab] chưa bao giờ làm việc phủ kim loại ở công đoạn front-end của dây chuyền sản xuất; phải cân nhắc những vấn đề nhiễm bẩn kim loại
sau khi cắt ra và lấp đầy những thang điện, sau đó sẽ gây dựng những hố-xuyên đưa điện từ mạng lưới cấp điện xuống những thang

BSPN
với những thang điện được chôn, điện năng vẫn phải đi qua 10-20 lớp của IC để xuống đến lớp bóng bán dẫn silic, tạo cơ hội cho điện trở của kim loại sẽ can thiệp
BSPN tách biệt những dây kim loại dẫn điện với những dây kim loại dẫn tín hiệu, và đưa những dây kim loại dẫn điện ấy xuống bên dưới lớp bóng bán dẫn silic
để kết nối mạng lưới với những thang điện [rail], họ đục những hố-xuyên-silic [TSC through-silicon-via] qua những đĩa wafer
một nghiên cứu ở trường đại học Austin tiểu bang Texas cho thấy BSPN tiết kiệm 8% điện năng tiêu thụ, so với mạng lưới cấp điện mặt-trước cũ; điện áp rơi thuần trở [IR drop] giảm 15% so với thiết kế mặt-trước
năm 2019 ARM trình làng những kết quả mô phỏng, cho thấy cải thiện tương tự
phản ứng dây chuyền: di dời những dây kim loại dẫn điện sẽ rộng chỗ cho những dây kim loại dẫn tín hiệu ở mặt trên, bày biện rộng ra sẽ giảm được điện dung ký sinh

Cảm biến ảnh
ý tưởng BSPN đã tiên phong ở hệ thống rọi sáng mặt-sau của cảm biến ảnh CMOS
cảm biến ảnh CMOS hoạt động khá giống con người của mắt: ánh sáng đi qua những thấu kính và một số bộ lọc màu, rồi đập vào một mảng những điốp quang silic được gây dựng vào tấm nền, là đĩa wafer silic; càng nhiều ánh sáng đập vào điốp quang, càng tốt
những cảm biến ảnh thuở đầu, ánh sáng đi qua một khối lượng [mass] những bóng bán dẫn và dây [wire] trước khi đập lên nền mảng điốp quang, vì họ gây dựng những dây [wire] bên trên tấm nền; diễn biến này ảnh hưởng việc thu thập ánh sáng, làm giảm hiệu năng ảnh thiếu-sáng
năm 2009 công ty OmniVision và công ty Sony Semiconductor Solutions trình làng 'rọi sáng mặt-sau' di dời dây [wire] ra đằng sau tấm nền điốp quang, cho điốp quang được rọi sáng từ phía sau

Được rọi sáng phía sau [back-illuminated]
sau khi Sony chế tạo điốp quang trên đĩa wafer, sau đó hãng sẽ ghép [bond] trên một đĩa wafer khác bên trên nó, sau đó lật lại và làm mỏng wafer có điốp xuống một bề dày 5-10 micromet đồng đều để phơi ra mặt-sau của những điốp
để làm mỏng wafer, Sony đã phải lấy máy mài, mua từ công ty Disco ở Nhật Bản; mài cẩn thận kẻo hỏng đĩa wafer đã có sẵn điốp quang được chế tạo trên đấy
năm 2010 Sony trình làng thành phẩm thương mại trên ở iPhone 4, cùng thêm tiến bộ công nghệ với những cảm biến 3-chiều được-xếp-chồng-wafer

Tiến trình
thay vì chỉ 5-10 micromet của Sony đã làm, IMEC đề nghị làm mỏng đĩa wafer xuống 0.5 micromet
bắt đầu là đĩa wafer thông thường, sau đó tăng trưởng 2 lớp bên trên, một lớp silic-germani dày 50 nanomet; và sau đó là phủ lên lớp thứ hai, dày hơn, là silic rất tinh khiết
lớp thứ hai phủ lên là nơi mà sau đó sẽ cắt những thang điện được chôn [buried power rail] sử dụng kỹ thuật cô lập rãnh nông [shallow trench isolation], và cũng sử dụng lắng đọng lớp nguyên tử [ALD atomic layer deposit] để phủ kim loại vonfram hoặc rutheni lên rãnh
sau đó, ghép [bond] một đĩa wafer khác lên trên nó; đây gọi là 'wafer nâng đỡ' [carrier wafer] vì sau đó sẽ lật lại, như Sony làm
sau đó, mài mặt-sau của đĩa wafer ban đầu, trong một loạt những bước; trước tiên sử dụng một máy mài, rồi một máy đánh bóng [polish] và cuối cùng là những công cụ etch bán dẫn; ví dụ khắc axit [etch] khô và khắc [etch] ướt
bước cuối cùng là khắc axit [etch] ướt, trong đó áp [apply] axit ăn mòn lớp silic-germani và phơi ra lớp phủ [capping layer] láng phẳng
sau đó họ đục những hố-xuyên-silic vào những lớp silic để kết nối những thang điện được chôn [buried power rail] đến mạng lưới điện
IMEC sử dụng in thạch bản để chỉ cho những máy Etch nơi đục hố [via], sau đó sử dụng etch để đục những hố-xuyên-silic nơi mẫu hình in thạch bản chỉ ra
IMEC sử dụng kiểu etch là tiến trình Bosch, hoạt đông khá giống lắng đọng lớp nguyên tử, theo đó hoạt động theo một chu kỳ 2-bước: đầu tiên, bắn một plasma khí axit để etch silic; sau đó, bắn một chất hoá học nhựa teflon, để vô hiệu hoá etch, và giữ nó ở trạng thái mong muốn [keep it under tight control]
sau rốt, chu kỳ sẽ khoan những hố-xuyên-silic rộng 90 nanomet; sau đó rửa những hố-xuyên-silic, bọc lót [liner] một rào [barrier] rồi phủ kim loại đồng để nối-dây [wiring]
IMEC đã bày ra tiến trình tổng thể, và tất cả xưởng đúc [fab] chủ yếu sẽ làm theo; những xưởng đúc [fab] chỉ quyết định những chi tiết nhỏ, vonfram hay rutheni, chiều rộng của hố-xuyên-silic, lớp lót [liner] sẽ sử dụng cái gì

Intel nhanh chân
Intel gọi phiên bản BSPN của hãng là PowerVia lần đầu tiên đi vào dòng chảy công việc ở nút tiến trình 20A tiền nhiệm của 18A
năm 2025 TSMC trình làng bóng bán dẫn GAAFET ở nút N2 và dự kiến ra mắt BSPN ở nút N2P kế nhiệm năm 2026
không chỉ ấn tượng về khía cạnh sản xuất, BSPN cũng là một cải tiến thiết kế 'đại nhảy vọt' đề nghị cho những khách hàng (nhà thiết kế) của cả Intel lẫn TSMC, phải viết-lại [port] tất cả mạng lưới câp điện và thiết kế lại để hoạt động tốt như trước; một thử thách thiết kế EDA
những kỹ thuật mặt-sau đã tạo điều kiện cho cải tiến xếp-chồng-3-chiều cho cảm biến ảnh, và đang hướng đến làm tương tự cho mạch logic kỹ thuật số

Thứ Hai, 1 tháng 4, 2024

Trung Quốc và ngành công nghiệp linh kiện bán dẫn

Tiền Học Sâm trăn chối rằng: "thập niên 1960 chúng ta dành hết nỗ lực cho dự án "hai quả bom, một vệ tinh" và đã có thành tựu. Thập niên 1970 chúng ta không tập trung vào linh kiện bán dẫn, và đã chịu thiệt thòi vì thế."
sau Cách mạng Văn Hoá, cộng hoà nhân dân Trung Quốc đã 3 lần dấn thân vào ngành linh kiện bán dẫn, và đã thành công ở lần thứ 3

Khởi đầu
Hoa kiều Hoàng Côn (ảnh dưới) sáng lập cộng đồng hàn lâm vật lý thể rắn Trung Quốc
năm 1919 Hoàng Côn sinh ra ở Bắc Kinh, sau đến học vật lý ở trường đại học Bristol và có bằng tiến sĩ
từ cuối thập niên 1940 Hoàng Côn hợp tác với Max Born xuất bản sách giáo khoa "thuyết động lực học của vật lý mạng lưới" trình làng năm 1954
ngày 25 tháng 6 năm 1950 chiến tranh Triều Tiên nổ ra, Xue Xide học vật lý bề mặt và chất bán dẫn ở MIT, đã cùng Hoàng Côn trở về Trung Quốc thành lập khoa vật lý thể rắn ở trường đại học Bắc Kinh
Hoàng Côn viết sách giáo khoa "vật lý chất bán dẫn" (ảnh dưới) cùng Xue Xide
trường đại học Phúc Đán ở Thượng Hải đã theo chân
ngày nay, trường đại học Bắc Kinh vẫn được coi là cái nôi của ngành linh kiện bán dẫn Trung Quốc
Nhà máy 774
năm 1953 được Liên Xô trợ giúp, Trung Quốc tiến hành kế hoạch kinh tế 5 năm lần thứ nhất; một trong số 156 dự án công nghiệp là nhà máy 774, có tên khác là nhà máy ống điện tử Bắc Kinh
thập niên 1950 nhà máy 774 trình làng tinh thể germani, và ít năm sau là tinh thể silic
nhờ thế, khu công nghiệp điện tử ở tiểu quận Jiuxianqiao thành Bắc Kinh đã tăng trưởng
đầu thập niên 1960 nhà máy 774 bắt đầu sản xuất bóng bán dẫn mối-nối hợp kinh germani, một cải tiến của bóng bán dẫn lưỡng cực Shockley phát minh; ở Mỹ, trước khi xuất hiện bóng bán dẫn phẳng, bóng bán dẫn mối-nối hợp kim đã được thị trường ưa chuộng
lấy một miếng tinh thể germani và những hột mồi-nổ [fuse bead] indi đặt ở hai phía của miếng tinh thể germani, đây là công việc thủ công; công nhân nhà máy 774 sử dụng một mỏ hàn của-nhà-trồng-được để hàn những hạt indi lên miếng germani
cuối năm 1963 những linh kiện này đã được lắp vào radio Trung Quốc và được chào bán ở Hồng Kong
sau này, tài sản nhà máy 774 được bán cho một công ty quỹ tư nhân, lập ra tập đoàn Bắc Kinh Orient Electronics Technology, nay là một trong những công ty OLED hàng đầu thế giới

Mạch tích hợp
thập niên 1950 mạch tích hợp được trình làng, đã tạo điều kiện gây dựng một khu công nghiệp linh kiện bán dẫn khác ở phía nam
năm 1965 một nhà máy linh kiện ở Thượng Hải đã hợp tác với học viện luyện kim Thượng Hải, thuộc Đại học Khoa học viện Trung Quốc, để sản xuất một mạch tích hợp kiểu-TTL [transistor-transistor-logic] ứng dụng quân sự và chương trình hàng không vũ trụ
năm 1965 dự án tên lửa Minuteman 2 có lúc đỉnh điểm đã mua 15000 mạch tích hợp mỗi tuần
trước năm 1964 sứ mệnh Apollo ước tinh đã mua 20 vạn IC
năm 1959 Huang Chang là tiến sĩ ở đại học Harvard, từng làm ở Sylvania Semiconductors và lấy được Thường Trú Nhân ở Mỹ, đã hồi hương theo đàn anh Tiền Học Sâm để góp sức cho chương trình tên lửa Trung Quốc; nhiều máy tính cho những tên lửa ấy đã được gây dựng với bóng bán dẫn tự-sản-xuất

Mặt trận thứ ba
năm 1964 Mao Trạch Đông ban hành chính sách 'mặt trận thứ ba' di dời nhiều cơ sở công nghiệp nặng và quân sự Trung Quốc vào sâu trong đại lục, để đối phó với quan hệ xấu đi với Liên Xô; chính sách đã tái định cư 500 nhà máy, 1 triệu rưỡi công nhân và tổng đầu tư 200 tỷ nhân dân tệ
năm 1970 quân đội di dời cơ sở nghiên cứu mạch tích hợp ở Hà Bắc - học viện 24 - đến huyện Vĩnh Xuyên ở Trùng Khánh
ở nhiều nơi kém phát triển, có nơi ghi nhận tăng trưởng công ty 700% nhưng mặt khác thì chính sách 'mặt trận thứ ba' cũng làm gián đoạn chuỗi cung; nhiều nơi tái định cư ở miền núi và thiếu mạng lưới giao thông, và tách biệt cơ sở nghiên cứu phát triển với cơ sở sản xuất, gây khó khăn cho những thành quả nghiên cứu ở các phòng thí nghiệm được thực thi ở những xưởng fab; những vấn đề ở xưởng đã bị xử lý bởi những công nhân không-đủ-đào-tạo, gây ra lợi suất [yield] và năng suất thấp

Cách mạng văn hoá
từ năm 1965 đến 1975 Mao Trạch Đông tổ chức cách mạng văn hoá, nhiều trường đại học đã bị đóng cửa, những trường còn hoạt động đã chịu bất ổn lớn; những ai có quan hệ với phương Tây hoặc được giáo dục ở phương Tây, trong đó có Hoàng Côn, đã bị truy tố, bị tước bỏ chức vụ hoặc bị bắt lao động ở hợp tác xã; những sinh viên sẽ bị bắt làm việc ở nhà máy hoặc học tập chính trị
tháng 12 năm 1978 trường đại học đã mở cửa lại, khi Đặng Tiểu Bình tái định hướng đường lối quốc gia
'mặt trận thứ ba' di dời học viện 24 đã giúp cơ sở nghiên cứu mạch tích hợp này thoát nạn Cách mạng Văn Hoá và được hoạt động trong yên bình, nhưng cũng không tránh được Trung Quốc bị cô lập và tụt lùi lại so với công nghệ thế giới

Bám đuổi
cuối thập niên 1970 đầu 1980 Trung Quốc khôi phục, những nỗ lực ban đầu của phát triển ngành linh kiện bán dẫn Trung Quốc là đơn giản và phi tập trung; ở mặt trận giáo dục, mở rộng nguồn nhân lực, bằng cách đào tạo sinh viên bán dẫn và gửi đi du học phương Tây
chính quyền các cấp đã mua những thiết bị mới ở nước ngoài để nâng cấp những nhà máy bán dẫn sở-hữu-nhà-nước, tổng cộng đã chi 1.3 tỷ nhân dân tệ
từ năm 1984 đến 1990 chính quyền địa phương, doanh nghiệp nhà nước và trường đại học đã tài trợ xây mới 33 xưởng fab bán dẫn
năm 1949 Uỷ ban hợp tác về Quản lý Xuất khẩu Đa phương [CoCOM] được thành lập và đã áp dụng những kiểm soát xuất khẩu, nhưng người Trung Quốc ít bị ảnh hưởng vì hầu hết chỉ nhập khẩu công nghệ sườn-sau [trailing edge] không bị hạn chế; Trung Quốc, giống như Liên Xô, cũng giỏi lách luật, thường nhập lậu qua Hồng Kong
kinh phí có hạn, bấy giờ chính quyền Trung Quốc cũng nhập khẩu thiết bị để gây dựng những ngành điện tử và máy tính; những thiết bị sản xuất linh kiện bán dẫn đã thường là đồ cũ, đồ đã qua sử dụng; công nhân nhà máy thường không biết nhiều về cách thức những thiết bị ấy hoạt động
người nước ngoài viếng thăm những nhà máy ấy đã kể rằng thiếu những quy trình sản xuất thành thạo, công nhân bị coi là công việc 'bát cơm sắt' [iron bowl]; công nhân đông quá mức cần thiết, và hầu hết không làm việc hiệu quả
sau này, hiệp hội ngành linh kiện bán dẫn Trung Quốc báo cáo rằng đã điều tra kết quả của 24 dây chuyền được nhập về, chỉ có 10 dây chuyền hoạt động đúng chức năng
ngành điện tử Trung Quốc tăng trưởng - nản lòng với sản lượng IC nội địa - đã tìm đến hàng nhập khẩu
thập niên 1980 chỉ có nhà máy Vô Tích số 742 hoạt động đạt kỳ vọng

Nhà máy Vô Tích số 742
năm 1960 nhà máy radio Giang Nam được thành lập, sản xuất đi-ốp do Liên Xô thiết kế ở thành phố Vô Tích
năm 1968 nhà máy radio Giang Nam là doanh nghiệp nhà nước, đã sáp nhập với một trường công nghiệp, sản xuất thiết bị rời rạc được sử dụng trong thiết bị quân sự, như radio; nhà máy đã được trao tặng nhiều huy chương vàng
năm 1980 chính phủ Trung Quốc lựa chọn nhà máy 742 làm nhà máy nòng cốt cho dự án 65 mới, một phần của kế hoạch 5-năm lần thứ sau 1981-1985, theo đó chính phủ tài trợ 270 triệu nhân dân tệ để mua lại 2 dây chuyền sản xuất của Toshiba: đĩa wafer lưỡng cực 3 inch ở nút tiến trình 5 micromet, và đĩa wafer 4 inch ở nút tiến trình bộ nhớ - đều lạc hậu khá xa so với nút tiến trình mũi nhọn
Toshiba tiếp đón một số kỹ sư Trung Quốc ở nhà máy để học hỏi quy trình [recipe]; chuyển giao công nghệ đã diễn tiến mượt mà, năm 1984 dây chuyền đi vào sản xuất công nghiệp, sản xuất 30 triệu đĩa wafer năm ấy
năm 1985 nhà máy tăng lợi suất [yield] lên đến 80%, sản xuất hơn 70 triệu mạch tích hợp cho tivi (ảnh dưới: giám đốc Wang Hongjin của nhà máy Vô Tích số 742)
cuối năm 1980 một nửa tivi Trung Quốc được công bố là trang bị chip sản xuất ở nhà máy 742
năm 1986-1987 nhà máy 742 sáp nhập chi nhánh ở thành phố Vô Tích của học viện 24, tạo nên công ty vi điện tử Vô Tích Huajing
bất chấp Trung Quốc bị cô lập, nhà máy Huajing tiếp nhận những chuyên gia nước ngoài, sách vở của Hội kỹ sư điện và điện Tử [IEEE] và cử nhân viên đi nước ngoài nghiên cứu
năm 1987 Huajing là công ty Trung Quốc tiên phong đưa mạch tích hợp hạng-LSI vào sản xuất và sản xuất mẫu-thử [prototype] chip RAM 64K hạng-VLSI

Dự án 908
năm 1983 lãnh đạo Trung Quốc biết rằng nỗ lực bám đuổi đầu tiên trong ngành linh kiện bán dẫn đã không thành tựu, và đã rút ra nhiều lý do: đầu tư bừa bãi những cơ sở bán dẫn, dẫn đến thiếu quy mô và sản lượng
năm 1989 Quốc vụ viện đã phê chuẩn một biện pháp mới để ứng phó vấn đề trên: tiêu thụ nội địa 400 triệu chip, sản lượng trong nước ở tất cả những xưởng fab rải rác là 114 triệu; chưa kể, những chip này đã lạc hậu
các người hoạch định chính sách Trung Quốc đã dọn dẹp và tạo nên 5 công ty linh kiện bán dẫn ở cả miền bắc và miền nam: 2 ở Thượng Hải, 1 ở Thiệu Hưng, 1 ở Bắc Kinh và 1 ở Huajing quận Từ Hối, Thượng Hải
năm 1990 trình làng dự án 908 phô diễn cho thế giới rằng Trung Quốc có thể gây dựng một nhà sản xuất tích hợp thiết kế hàng đầu trong lĩnh vực linh kiện bán dẫn; phần lớn nhất của gói đầu tư là trao kinh phí 2 tỷ nhân dân tệ cho Huajing nâng cấp dây chuyền đĩa wafer 5 inch lên dây chuyền wafer 6 inch , bấy giờ được coi là công nghệ mũi nhọn, hoạt động trên nút tiến trình 1 micromet hoặc 800 nanomet, sản lượng 12000 wafer mỗi tháng
năm 1989 GDP đầu người ở đại lục là 1538 nhân dân tệ, tương đương 400 đôla Mỹ; dây chuyền của dự án 908 đã lạc hậu trước cả khi được đưa vào vận hành
năm 1997 xưởng fab có sản lượng tối đa 12000 wafer mỗi tháng, nhưng thực tế chỉ làm 800 đĩa wafer mỗi tháng, Huajing rơi vào khủng hoảng
ở Trung Quốc, linh kiện bán dẫn luôn là dự án được tài trợ của nhà nước, Huajing xuất thân là nhà máy chính phủ, dự án 908 là chính-phủ-khởi-xướng
dự án 907 cũng chịu số phận tương tự, bất cứ quyết định đầu tư nào, ví dụ máy in thạch bản, cần chính phủ phê duyệt, cho nên đã lãng phí thời gian
chính phủ địa phương đã đấu tranh công chức [bureaucratic] về cách làm và mục tiêu làm việc, ví dụ 26 cơ quan đã tranh chấp địa điểm thực hiện hoạt động nạo vét [dredging operation]; có thể thấy, những tranh chấp này sẽ ảnh hưởng những quyết định chiến lược kinh doanh, ví dụ theo đuổi DRAM hay chip logic mạch tích hợp chuyên dụng [ASIC]
giữa thập niên 1980 Samsung đối mặt quyết định tương tự trên, một nhóm giám đốc đã tranh luận; sau rốt, chủ tịch Lee Byung-chul quyết định sản xuất bộ nhớ

Huajing
Huajing và nhiều cơ quan đỡ đầu của chính phủ đã quyết định làm cả DRAM và ASIC với số lượng ngang nhau, dẫn đến tình huống cả hai đều được sản xuất kém
lãnh đạo Huajing là những người có kinh nghiệm, mới đầu đã xoay xở dự án khổng lồ này; nhóm đã phân quyền ra những đơn vị hoạt động nhỏ hơn, đổi lại đã khuyến khích xây-dựng-đế-chế và làm bùng nổ quy mô của công ty
cuối thập niên 1990 Huajing có 26 nhà máy, doanh nghiệp và chi nhánh, dưới trướng lãnh đạo của 9 phòng ban; không chỉ phân tán ra khắp toàn bộ chuỗi cung linh kiện bán dẫn, từ vật liệu, đến thiết kế, đến wafer, để lắp ráp, Huajing có cả bệnh viện riêng, dịch vụ giữ trẻ, hệ thống trường học và những trung tâm cộng đồng
Đài Loan và Hàn Quốc hưởng lợi từ làn sóng nhân lực hồi hương, cụ thể là những kỹ sư điện, được đào tạo ở phương Tây
năm 1950 chiến tranh Triều Tiên, cộng hoà nhân dân Trung Quốc bị áp lệnh hạn chế xuất khẩu ở công nghệ mũi nhọn Mỹ, và ở cả Đài Loan - một đối tác chuyển giao công nghệ
năm 1994 chính phủ Trung Quốc đàm phán một hợp đồng chuyển giao công nghệ giữa Huajing và Lucent Technologies - cũ là Bell Labs - đào tạo kỹ sư và chuyển giao một nút tiến trình, cùng với những khối [block] sở hữu trí tuệ [IP] cho một công nghệ chuyển mạch viễn thông
chính phủ đàm phán, nên Huajing không có tiếng nói trong việc ra quyết định; các giám đốc Huajing biết rằng công ty chưa sẵn sàng, và chỉ muốn một công nghệ rẻ tiền, công-nghệ-thấp để bắt đầu, trước khi thăng tiến công nghệ; chức sắc chính phủ đã lựa chọn cho Huajing, rẽ về phía một công nghệ bóng bẩy mà Huajing không thể hấp thụ
chưa hết, thứ họ nhận được, họ đã không thể sử dụng; các kỹ sư Huajing, hầu hết tự học, giỏi kỹ-thuật-đảo-ngược những sản phẩm sẵn có; nhận được IP và công nghệ Lucent, họ không biết làm sao tích hợp vào những thứ họ đang làm; cho nên việc chuyển giao công nghệ đã không cất cánh
về phía khách hàng, viện nghiên cứu công nghệ công nghiệp [ITRI Industrial Technology Research Institute] chia tách ra công ty UMC và đã giúp giới thiệu những khách hàng đặt-mua [source], đặt quan hệ buôn bán với mạng lưới những công ty điện tử Đài Loan; viện đã cung cấp IP sản phẩm, thuyết phục những trùm tư bản Đài Loan đầu tư
trong khi ấy, dự án 908 không cân nhắc vấn đề tranh cướp khách hàng hoặc làm thế nào lấy được đơn hàng của khách; nền kinh tế kế hoạch hoá tập trung sẽ đảm bảo nguồn nhu cầu, và cũng không có hàng nhập khẩu nào cạnh tranh
năm 1995 xây dựng những dây chuyền sản xuất đầu tiên, năm 1997-1998 đi vào vận hành; lúc ấy thì công nghệ wafer 6 inch của Lucent chuyển giao đã lạc hậu và vô ích, còn Huajing vay chính phủ 1 tỷ nhân dân tệ để chi trả những xưởng fab
năm 1997 lãi suất tăng cao, Huajing thua lỗ 240 triệu nhân dân tệ và phải ký hợp đồng với Đài Loan, nhiều nhân viên kinh nghiệm trong lĩnh vực linh kiện bán dẫn đã đồng ý sang vận hành; vì luật vượt-eo-biển [cross-strait rule], họ thành lập công ty vỏ Central Semiconductor Manufacturing Corporation [CSMC] ở Hồng Kong và tiếp quản Huajing
năm 2002 sau khi bình ổn Huajing, CSMC bán lại cho một doanh nghiệp nhà nước Trung Quốc
nay là công ty vi điện tử Huajing nguồn lực Vô Tích [Wuxi China Resources Huajing Microelectronics] sản xuất đồ điện tử điện lực rời rạc, quảng bá thương hiệu lâu đời

Dự án 909
lắng nghe tổ tư vấn, những người hoạch định chính sách đã xác định rằng 908 thất bại vì vị thế chính-phủ-khởi-xướng; vai trò trung tâm của chính phủ và nguồn gốc doanh nghiệp nhà nước của Huajing đã làm tê liệt khả năng của Huajing để đi nhanh trong một thị trường năng động
tháng 11 năm 1995 chính phủ Trung Quốc soạn thảo dự án 909
tháng 3 năm 1996 khai trương dự án 909 là dự án nhà nước lớn nhất trong ngành linh kiện bán dẫn, sáng lập tập đoàn quốc gia Thượng Hải Huahong
ngân sách nhà nước Trung Quốc và chính quyền thành phố Thượng Hải đầu tư trực tiếp 10 tỷ nhân dân tệ, bộ trưởng lắp-ráp-máy-công-cụ và điện tử Hồ Khải Lập đã cắt băng khánh thành và làm chủ tịch hội đồng quản trị của công ty, thị trưởng thành phố Thượng Hải đã vào nhóm lao động [working group] hàng đầu của công ty, nhiều phòng ban chính phủ Thượng Hải đã được lệnh tạo điều kiện hết mình cho dự án 909
thành tựu chủ đạo của Huahong là xây dựng xưởng fab đĩa wafer bộ nhớ 8 inch chạy nút tiến trình 500 nanomet, được hợp đồng chuyển giao công nghệ của NEC; liên doanh được gọi tên là Huahong-NEC
lệnh hạn chế xuất khẩu đã không can thiệp Huahong, phần vì sự tinh vi đã-lạc-hậu của công nghệ, phần vì mối quan hệ bấy-giờ-nồng-ấm giữa Mỹ và cộng hoà nhân dân Trung Quốc
năm 1997 quốc vụ viện Trung Quốc đã dành thị trường cho Huahong-NEC; bộ trưởng Hồ Khải Lập đã ngâm cứu và lựa chọn sản phẩm thẻ IC

Huahong-NEC
liên doanh đã xây dựng nhà máy fab trong vòng 2 năm, theo điều khoản của hợp đồng chuyển giao công nghệ thì NEC sẽ cung cấp một kênh bán hàng lúc đầu; liên doanh chào bán DRAM 64 megabit dưới tên thương hiệu NEC
năm 2000 năm đầu tiên hoạt động trọn năm, Huahong-NEC thu lãi 350 triệu nhân dân tệ, cải thiện lợi suất từ 50% mới đầu đến gần 90%
đánh đổi là, công nhân Nhật Bản từ NEC là người vận hành xưởng fab, không phải nhân viên Huahong; và họ làm theo cách thức sao cho những quản lý Huahong không nắm được cái gì đang diễn ra bên trong xưởng đúc [fab]
so với năm 1974 viện nghiên cứu công nghệ công nghiệp Đài Loan ký hợp đồng chuyển giao công nghệ, họ trình bày một tiến trình chuyển giao hoàn chỉnh; hợp đồng sẽ không được coi là tất toán, cho đến khi Đài Loan hoàn thành một xưởng đúc [fab] bản sao của riêng mình, trên đất Đài Loan, vận hành bởi nhân viên Đài Loan
chuyển giao không hoàn chỉnh, Huahong không thể nâng cấp xưởng đúc [fab]
năm 2002 sụt giá bộ nhớ, Huahong thua lỗ vận hành và đã huỷ bỏ sự tham gia của NEC để tiếp nhận quyền kiểm soát hoàn toàn
sau đó Huahong ký hợp đồng với xưởng đúc [foundry] khởi nghiệp hàng không vũ trụ Jazz Semiconductor được chia tách từ công ty Rockwell Semiconductor - giám đốc điều hành Shu Li sáng lập Jazz là người Mỹ gốc Hoa hồi hương
liên doanh ba-bên mới đã mua lại IP nước ngoài để phát triển thẻ thông minh không-tiếp-xúc đầu tiên Trung Quốc cho phương tiện công cộng và thanh toán: Shanghai Public Transportation One-Card; liên doanh cũng chuyển đổi sang mô hình foundry
năm 2011 sau khi Jazz được công ty foundry Tower của Israel mua lại, Jazz đã bán cổ phần ở Huahong
sau những thương vụ mua bán sáp nhập, Huahong nay là foundry lớn nhì Trung Quốc, hầu hết sản lượng ở những nút lạc hậu [lagging edge]

Thông tư 18
năm 2001 Trung Quốc trở thành thành viên của Tổ chức Thương mại Thế giới, và tự do hoá thị trường linh kiện bán dẫn trong nước
tháng 6 năm 2000 quốc vụ viện đã ban hành Thông tư 18 tựa đề "nhiều chính sách khuyến khích phát triển ngành công nghiệp phần mềm và mạch tích hợp" đưa ra ưu đãi thuế cho các công ty linh kiện bán dẫn ở Trung Quốc, không phân biệt nội địa hay sở hữu nước ngoài
năm 2000 Richard Chang bán công ty foundry Worldwide Semiconductor cho TSMC và sớm sau ấy đi sang Trung Quốc, gọi vốn 1 tỷ đôla và khởi nghiệp SMIC có cùng mô hình kinh doanh foundry; bỏ lại Huahong và Nexchip phía sau
đồng thời, làn sóng nhà đầu tư nước ngoài trong ngành linh kiện bán dẫn, Applied Materials, AMD, Philips, Motorola và ASML công bố những khoản đầu tư tỷ đôla vào Trung Quốc

Luận án 'Rapid advance: high technology in China in the global electronic age'
ấn tượng rằng Trung Quốc đã không quan tâm đến linh kiện bán dẫn, chỉ khi phương Tây cấm vận thì Trung Quốc mới quan tâm; có đúng không?
tác giả luận án Susan K Mays có lẽ đang ở trường đại học Texas-Austin
từ thập niên 1960 cộng hoà nhân dân Trung Quốc đã nghiền ngẫm linh kiện bán dẫn, đã đầu tư hàng tỷ nhân dân tệ và điều chỉnh thích nghi cách tiếp cận và chiến lược nhiều lần sau hàng thập kỷ
khó thể chối bỏ rằng, tiến bộ bán dẫn của Trung Quốc ngày nay có được từ những mối quan hệ sẵn có với lĩnh vực linh kiện bán dẫn toàn cầu nói chung; hấp thụ những kiến thức và thiết bị mới, từ nước ngoài